Modelsim error :Illegal output or inout port connection (port 'divclk').

这里特别强调一下激励的设置。相应于被测试模块的输入激励应该设置为reg型输出相应

设置为wire型双端口inout在测试中需要进行特的处理。 

TESTBENCH 中的“  divclk”

Module中的“  divclk”

 

posted @ 2013-03-05 18:45  navieli  阅读(7906)  评论(0编辑  收藏  举报