ysyx:部署verilator,但先处理网络

按照教程要求,不使用apt-get,而是直接用官网教程进行下载,但是在git clone时始终无法连接到github,卡了一天。ping github可以获得github的IP,但是请求始终超时。估计是被墙了,按照网上的教程修改hosts意义似乎不大,因为ip可以获得,出问题的不是dns。那就只好先处理代理的问题了。但是这么做就陷入了一个死循环:要连接github,需要挂代理,但要下载代理需要去github。。。直接改host不起效果。

 

 

最终做法:在另一台电脑上,在github上下载了clash verge的linux版本压缩包(.tar.gz),用u盘转移到了ubuntu上。

  1. 首先,gzip -d 解压压缩包,再tar -xvf解压,可以得到一个可执行文件、readme和license,然后chmod +x clash允许clash运行。

  可以先用./clash -v检查一下文件能否运行,如果提示了版本号,那说明程序正常,然后用sudo mv clash /usr/local/bibn/clash,把文件移动到local下,这样就不用在使用clash时先输入完整地址。

  2. 如果刚才没运行过clash,那就输入clash,让程序运行一次,退出。此时在 config/clash文件夹下就应该有了config.yaml。 cd ~/.config/clash,然后用wget -O config.yaml  订阅地址  来生成config.yaml,注意,代理商针对不同软件可能提供不同地址,不要用错,会提示403。

  3. 下载config.yaml后,还需要mmdb。正常情况下,启动clash后应该会自动开始下载Country.mmdb。

  4. 输入echo -e "export http_proxy=http://127.0.0.1:7890\nexport https_proxy=http://127.0.0.1:7890" >> ~/.bashrc 来配置环境变量

  5. 启动clash后,提示unmarshal str into config.Rawconfig  ,打开config.yaml,发现里面是一串乱码。这是因为订阅下发的地址经过了base64解密,但我直接解密也无法解开。最后把其他设备上现成的config.yaml复制到了ubuntu上。如果可以的话也可以自己手动写配置文件。

  6. 不出意外的的话,c现在应该可以启动了。启动后,在浏览器里输入http://clash.razord.top/#/settings 进入设置页面。此外,在ubuntu的设置里,打开network->proxy,,设置为manual,并且把http proxy、https proxy、socks host均设为127.0.0.1(因为是本地启动的clash),端口选7890,host的端口选7891

  (如果你的订阅经常更新地址,那最好多更新,或者设置自动更新,时间长了可能导致clash无法更新地址,只能再尝试自己手动导入)

-------------------------

怎么找到其他设备上的config.yaml:

  如果你已经在其他设备上,比如Windows上运行着clash,那你可以打开它的配置页面,选择一个订阅,右键打开“打开文件所在位置”。在里面可以看到所有的订阅配置文件。

 

 

可以参考的文档:ubuntu 23.10安装Clash(其余linux系统类似)

Ubuntu22.04安装与配置记录 - 过客 (guoke.zone)

Ubuntu系统配置clash for Linux踩坑之后记

 

神秘地址:Releases · doreamon-design/clash (github.com)

不要用snap install下载clash,下载以后无法正常使用,删除用snap remove clash。

 

------------------------

接下来是用git 安装verilator, 教程:手把手带你安装Verilator - 知乎 (zhihu.com)

  1. 网络问题解决好了以后,首先按照教程,先安装所需的lib库(不安装的话后面make时会报错)

git clone https://github.com/verilator/verilator  用git checkout v5.008可以切换到要求的分支。

  2. 切换分支以后,输入autoconf(需要下载),然后 ./configure,自动生成makefile。生成好了以后,程序会提示now type 'make' to build verilator。

  3. 输入make,然后等待一段时间,等待make完成。 完成后会提示build complete。然后输入sudo make install。安装完成后,可以用verilator --version来检测是否完成了安装,如果安装完成,那么这里会提示版本号。

  4.自带的例子位于examples文件夹下。里面都是例子,其中有一个名为make_tracing_c的,是用c++包装器的例子。 此外还有其他多个例子,但说实话我没看明白意思。

posted @ 2024-01-25 14:17  namezhyp  阅读(130)  评论(0编辑  收藏  举报