ou_ou

博客园 首页 新随笔 联系 订阅 管理

2016年3月11日 #

摘要: 一、摘要 将Quartus II中FPGA管脚的分配及保存方法做一个汇总。 二、管脚分配方法 FPGA 的管脚分配,除了在QII软件中,选择“Assignments ->Pin”标签(或者点击按钮) ,打开Pin Planner,分配管脚外,还有以下2种方法。 方法一:Import Assignme 阅读全文
posted @ 2016-03-11 11:08 ou_ou 阅读(856) 评论(0) 推荐(0) 编辑