摘要: 类的继承SystemVerilog支持单继承(类似Java,而不像C++). 有一个让SystemVerilog支持多重继承的提案[1], 但是短期内不会看到曙光。目录1 什么是继承? 2 有什么好处 3开-关定律 4参考资料 什么是继承?继承是面向对象编程范式的关键概念。类用来创建用户自定义类型. 继承使得用户可以用非常安全,非侵入的方式对类的行为进行增加或者修改。使用继承可以定义子类型,在子类型中增加新的方法和数据。被继承的类一般称为基类(SystemVerilog中的超类),得到的新类一般称为引申类(或子类)。为什么继承如此重要? 因为它使得复用得以实现。让我们通过实例来说明. 假设我们 阅读全文
posted @ 2011-05-19 13:52 mollieee 阅读(3007) 评论(0) 推荐(0) 编辑
摘要: SystemVerilog从Verilog继承了任务和函数功能。任务和函数是两种用来定义子程序的方式。如果子程序需要消耗仿真时间,使用任务,否者子程序消耗仿真时间为0,则使用函数。另外,函数可以有返回值,而任务没有。SystemVerilog给任务和函数增加了新的语义特性. 这些新的特性对高级抽象建模非常重要:静态和自动作用域 参数传递 线程 参数化函数 静态和自动作用域Verilog中变量的作用域Verilog中,任务和函数中局部定义的变量是静态作用域。因此,如果多次调用函数/任务,则此局部变量将在多个函数执行线程中共享。在递归函数以及任务中通过fork-join执行多线程的情况下发生。因此 阅读全文
posted @ 2011-05-19 11:37 mollieee 阅读(5776) 评论(0) 推荐(0) 编辑