摘要: 通常从别人那里拷过来的程序,要重写设置一下:cattler 要更改为自己目录下的scater,操作步骤:1点击红色的2进入后,点击ARM Linker ,之后在右边的Scatter 中选择自己的目录hex 的输出文件也要重写设置:1点击红色的2进入后,点击ARMfromELF ,之后在右边的output format 中选择输出的格式,一般选Verilog hex 便于仿真,然后下面一栏,选择输出路径与名字。编译按钮:debug 方式,查看PC ,绿色小虫按钮,进入模式后,点击PC的右键,可以查找PC值 阅读全文
posted @ 2012-02-09 16:16 mollieee 阅读(443) 评论(0) 推荐(0) 编辑
摘要: vmm 的callback调用的是基类,而不是扩展类。这样做的目的,就是不修改原程序结构的基础上来增加或者替换内容,大大的提高了重用性。修改的内容在扩展类中增添。这种思想应该来源于SV中的虚方法,虚方法可以重写其所有基类中的方法,然普通的重写只能在本身及其扩展类中有效。这样就可以调用基类的时候,访问到扩展类中对基类中虚函数的重写。由虚函数发展到虚类,虚类是一个定义抽象概念的类模板,不可以实例化,只能被扩展,在扩展类中必须对所有的虚函数再重写并具体化。虚类virtual class uart_manger_callbacks;.....................endclass纯虚 加pu 阅读全文
posted @ 2012-02-08 19:21 mollieee 阅读(525) 评论(0) 推荐(0) 编辑
摘要: 看鸟哥的私房菜,受益匪浅。如果上班前看就好了,那我就不会迷茫操作系统,COS,CPU之间的关系了。不过现在看也算解惑啦。对LINUX下的硬件,内核,系统调用,应用程序之间的理解硬件就是一堆废铁内核主要是把这些废铁搭建起来,实现基本功能。术语:主要是实现资源的分配和管理。内核是受保护的,不然会因为误操作,导致系统的瘫痪。有点像公司中ITCOS,权限最大,但是用户不能访问NVM,所以在此之上有了应用程序,即用户模式下的XTCOS。系统调用,是在内核与应用程序之间的通信的接口。平常所说的操作系统应该是的是内核和部分应用程序。shell 将应用程序与内核通信内核的功能:1系统调用接口(system c 阅读全文
posted @ 2012-02-03 09:43 mollieee 阅读(216) 评论(0) 推荐(0) 编辑
摘要: 1. verdi 加强了active anotation, active trace和trace this value的能力,并且引入了Temperal flow view。在trace X的时侯面对很多选项,推举的快速定位的方法是: 1) 找出来自于信号源的明显的错误,譬如(uninitialize,setup, hold time voilation, no drivers) •Stop at black box output signal (ON) • Stop at fanin that is X but no transition in its last cycle (OFF) • 阅读全文
posted @ 2012-02-01 11:12 mollieee 阅读(1860) 评论(0) 推荐(0) 编辑
摘要: 类是Systemverilog中许多关键概念的核心。 1. 类似其他面向对象的语言,类是面向对象的关键 2. 类对象通过引用传递。其他Systemverilog类型通过值传递 3. 类是systemverilog随机化的关键 4. 约束(constraint)必须被申明为类成员Systemverilog中的对象 在很多方面,Systemverilog中的类和对象的概念像Java胜过像C++. 如同Java一样, Systemverilog实现了一个垃圾回收器,用户负责创建对象,只要此对象的所有引用都没有了,此对象就被标记销毁。 这个特点很方便,用户不需要关心内存泄漏。尽管如此,用户仍然需要保证 阅读全文
posted @ 2011-05-20 10:07 mollieee 阅读(4240) 评论(0) 推荐(0) 编辑
摘要: 类的继承SystemVerilog支持单继承(类似Java,而不像C++). 有一个让SystemVerilog支持多重继承的提案[1], 但是短期内不会看到曙光。目录1 什么是继承? 2 有什么好处 3开-关定律 4参考资料 什么是继承?继承是面向对象编程范式的关键概念。类用来创建用户自定义类型. 继承使得用户可以用非常安全,非侵入的方式对类的行为进行增加或者修改。使用继承可以定义子类型,在子类型中增加新的方法和数据。被继承的类一般称为基类(SystemVerilog中的超类),得到的新类一般称为引申类(或子类)。为什么继承如此重要? 因为它使得复用得以实现。让我们通过实例来说明. 假设我们 阅读全文
posted @ 2011-05-19 13:52 mollieee 阅读(3007) 评论(0) 推荐(0) 编辑
摘要: SystemVerilog从Verilog继承了任务和函数功能。任务和函数是两种用来定义子程序的方式。如果子程序需要消耗仿真时间,使用任务,否者子程序消耗仿真时间为0,则使用函数。另外,函数可以有返回值,而任务没有。SystemVerilog给任务和函数增加了新的语义特性. 这些新的特性对高级抽象建模非常重要:静态和自动作用域 参数传递 线程 参数化函数 静态和自动作用域Verilog中变量的作用域Verilog中,任务和函数中局部定义的变量是静态作用域。因此,如果多次调用函数/任务,则此局部变量将在多个函数执行线程中共享。在递归函数以及任务中通过fork-join执行多线程的情况下发生。因此 阅读全文
posted @ 2011-05-19 11:37 mollieee 阅读(5776) 评论(0) 推荐(0) 编辑
摘要: 开通博客不是第一次了,此次目的是鞭策自己好好学习,天天向上。以后把学习到新东西记录在这里,争取每天都有一个进步!不要当懒猪猪哦~~~ 阅读全文
posted @ 2011-05-12 21:22 mollieee 阅读(160) 评论(0) 推荐(0) 编辑