摘要: 第一节,介绍了target_library的作用,以及dc打开、读入verilog、指定target_library、读入约束文件、 进行compile、输出结果这个过程。 第二小节: 从输出的mapped.v开始。如果想迭代的进行综合,那么读入上一次的输出netlist,进行再修改时最好的。 第一 阅读全文
posted @ 2017-09-23 19:27 mokang0421 阅读(287) 评论(0) 推荐(0) 编辑
摘要: 步骤1 命令: read_verilog –rtl + 路径/文件名 结果: load gtech.db库、standard.sldb库。 Load .v文件 Load your_library,但我们这里没有指定,所以报出了Warning。 Compile .v文件,统计always ,不知道是干 阅读全文
posted @ 2017-09-23 19:25 mokang0421 阅读(369) 评论(0) 推荐(0) 编辑