摘要: 配置组电压选择(CFGBVS)引脚必须设置为高电平或低电平,以确定I/O电压支持的引脚在bank0,以及多功能引脚在bank14和15在配置时使用。CFGBVS是一个逻辑输入,VCCO_0和GND之间的引脚引用。当CFGBVS引脚为高(例如,连接VCCO_0提供3.3V或2.5V),在bank0上的 阅读全文
posted @ 2022-04-09 16:54 米联客(milianke) 阅读(3277) 评论(0) 推荐(0) 编辑