[米联客-安路飞龙DR1-FPSOC] FPGA基础篇连载-24 基于FPGA简易示波器显示驱动设计

软件版本:Anlogic -TD5.9.1-DR1_ES1.1

操作系统:WIN10 64bit

硬件平台:适用安路(Anlogic)FPGA

实验平台:米联客-MLK-L1-CZ06-DR1M90G开发板

板卡获取平台:https://milianke.tmall.com/

登录"米联客"FPGA社区 http://www.uisrc.com 视频课程、答疑解惑!

 

1 概述

FPGA在数据采集,数据处理,图像视频领域都有广泛的应用。很多FPGA工程师苦恼,如何让FPGA采集的数据可以直观显示。如果上一个LINUX再弄一个QT写示波器软件,工作又太复杂了。基于此,设计一款基于FPGA的示波器对实时采集的波形数据在显示器上直观显示,具有非常好实用价值。

基于此目的,米联客在本方案中,完成了基于FPGA的一款简易示波器显示驱动设计,由于时间和精力问题,这款基于FPGA的示波器驱动目前还只能以描点的方式进行显示。并且没有复杂的功能,只能简单的展示采集的数据。但是这个不妨碍我们后期对该示波器IP进一步升级完善。万事开头难,让我们开始把。

4系统框图

首先,从顶层框架上进行设计,对于波形显示部分,我们只要给出wave_clkwave_de,wave_data三个信号,既可以完成波形显示。

其次,简易示波器的驱动部分需要完成包括背景绘制、栅格绘制、曲线绘制(绘制坐标点方式)

此外,我们需要考虑尽量少的FPGA资源实现这个示波器。比如用BRAM保存有效的数据点,然后通过数据比对的方式,只对有效数据点输出到显示器上。

最后,我们还要设计一个简单的乒乓存储,可以一边存储数据,一边绘制波形

2 波形绘制

关于HDMI输出IP的部分这里不再介绍,VTC时序设计部分这里也不详细介绍。如果读者这些基础知识不清楚的,请阅读前面的实验。

2.1 波形绘制显示原理

通过前面关于VTC IP视频时序驱动的学习,以及TPG IP测试图形显示的学习,我们知道,对于显示器上的图像,是从液晶屏的做上角,一个像素点一个像素点绘制,当一行所有绘制完成,进行下一行的绘制。利用肉眼的视觉暂留原理,一般1秒显示25帧以上,我们就能看到视频是动态的。

本方案中,我们绘制的波形曲线只需要显示波形的数据点,比如对于1920*1080的显示区域,我们时间上只要绘制1920点波形点,即可。

为了方便我们理解,我们定义HS方向是X坐标,VS方向是Y坐标。

比如我们这里设计的是显示1024个波形数据点,在绘制每一行的图像的时候,比对每一个数据和VSY坐标是否相等,如果相等就绘制这个波形点。这样我们就能完成1024个波形点在整个屏幕的显示。

2.2 画中画的vtc视频时序模块设计

我们这里显示的波形数据点是1024,高度是256,因此我们需要实现一个画中画的功能。栅格绘制,以及波形数据点会以画中画的有效区域进行显示。

支持画中画的uivtc.v源码

 

 1 /*************uivtc(video timing controller)视频时序控制器*************
 2 --版本号1.1
 3 --以下是米联客设计的uivtc(video timing controller)视频时序控制器
 4 --1.代码简洁,占用极少逻辑资源,代码结构清晰,逻辑设计严谨
 5 --2.使用方便,只需要输入6个参数既可以实现对不同视频分辨率时序的控制
 6 --3.该视频时序控制,一个时钟对应一个像素
 7 --4.通常我们说的像素,比如1080P代表了1920*1080是指视频的有效显示区域,实际的视频还包含不能显示的区域,比如行同步,场同步时间
 8 --5.通常我们说的行视频信号,也称之为视频的水平像素信号;场视频信号,也称之为视频的垂直像素信号;
 9 --6.针对波形绘制,增加画中画绘制区域功能
10 *********************************************************************/
11 `timescale 1ns / 1ns //仿真时间刻度/精度
12 
13 module uivtc#
14 (
15 parameter H_ActiveSize   =   1980,               //视频时间参数,行视频信号,一行有效(需要显示的部分)像素所占的时钟数,一个时钟对应一个有效像素
16 parameter H_FrameSize    =   1920+88+44+148,     //视频时间参数,行视频信号,一行视频信号总计占用的时钟数
17 parameter H_SyncStart    =   1920+88,            //视频时间参数,行同步开始,即多少时钟数后开始产生行同步信号 
18 parameter H_SyncEnd      =   1920+88+44,         //视频时间参数,行同步结束,即多少时钟数后停止产生行同步信号,之后就是行有效数据部分
19 
20 parameter V_ActiveSize   =   1080,               //视频时间参数,场视频信号,一帧图像所占用的有效(需要显示的部分)行数量,通常说的视频分辨率即H_ActiveSize*V_ActiveSize
21 parameter V_FrameSize    =   1080+4+5+36,        //视频时间参数,场视频信号,一帧视频信号总计占用的行数量
22 parameter V_SyncStart    =   1080+4,             //视频时间参数,场同步开始,即多少行数后开始产生场同步信号 
23 parameter V_SyncEnd      =   1080+4+5,           //视频时间参数,场同步结束,即多少场数后停止产生场同步信号,之后就是场有效数据部分
24 
25 parameter H2_ActiveSize  =   640,
26 parameter V2_ActiveSize  =   480
27 )
28 (
29 input           I_vtc_rstn,//系统复位
30 input           I_vtc_clk, //系统时钟
31 output  reg     O_vtc_vs,  //场同步输出
32 output  reg     O_vtc_hs,  //行同步输出
33 output  reg     O_vtc_de,  //视频数据有效  
34 input  [11:0]   I_vtc2_offset_x,//相对屏幕原点(左上角)X方向偏移
35 input  [11:0]   I_vtc2_offset_y,//相对屏幕原点(左上角)Y方向偏移
36 output  reg     O_vtc2_de     //绘制有效的显示区域
37 );
38 
39 reg [11:0] hcnt = 12'd0;    //行像素计数器,寄存器
40 reg [11:0] vcnt = 12'd0;    //场像素计数器,寄存器   
41 reg [2 :0] rst_cnt = 3'd0;  //复位计数器,寄存器
42 wire rst_sync = rst_cnt[2]; //同步复位
43 
44 always @(posedge I_vtc_clk or negedge I_vtc_rstn)begin //通过计数器产生同步复位
45     if(I_vtc_rstn == 1'b0)
46         rst_cnt <= 3'd0;
47     else if(rst_cnt[2] == 1'b0)
48         rst_cnt <= rst_cnt + 1'b1;
49 end    
50 
51 //行像素计数器
52 always @(posedge I_vtc_clk)begin
53     if(rst_sync == 1'b0) //复位
54         hcnt <= 12'd0;
55     else if(hcnt != (H_FrameSize - 1'b1))//计数范围从0 ~ H_FrameSize-1
56         hcnt <= hcnt + 1'b1;
57     else 
58         hcnt <= 12'd0;
59 end         
60 
61 //场计数器,用于计数已经完成的行视频信号
62 always @(posedge I_vtc_clk)begin
63     if(rst_sync == 1'b0)
64         vcnt <= 12'd0;
65     else if(hcnt == (H_ActiveSize  - 1'b1)) begin//是否一行像素结束
66            vcnt <= (vcnt == (V_FrameSize - 1'b1)) ? 12'd0 : vcnt + 1'b1;//每一行计数,场计数器加1,计数范围0~V_FrameSize - 1
67     end
68 end 
69 
70 wire hs_valid  =  hcnt < H_ActiveSize; //行信号有效像素部分
71 wire vs_valid  =  vcnt < V_ActiveSize; //场信号有效像素部分
72 wire vtc_hs   =  (hcnt >= H_SyncStart && hcnt < H_SyncEnd);//产生hs,行同步信号
73 wire vtc_vs    = (vcnt > V_SyncStart && vcnt <= V_SyncEnd);//产生vs,场同步信号      
74 wire vtc_de   =  hs_valid && vs_valid;//只有当行像素有效和场像素同时有效,视频数据部分才是有效
75 
76 //画中画,波形绘制区域
77 wire hs2_valid  =  (hcnt>=I_vtc2_offset_x)&& (hcnt<(I_vtc2_offset_x+H2_ActiveSize)); //画中画,波形绘制区域HS有效信号
78 wire vs2_valid  =  (vcnt>=I_vtc2_offset_y)&& (vcnt<(I_vtc2_offset_y+V2_ActiveSize)); //画中画,波形绘制区域VS有效信号
79 wire vtc2_de    =  hs2_valid && vs2_valid; //画中画,数据有效绘制信号
80 
81 //完一次寄存打拍输出,有利于改善时序,尤其对于高分辨率,高速的信号,打拍可以改善内部时序,以运行于更高速度
82 always @(posedge I_vtc_clk)begin
83     if(rst_sync == 1'b0)begin
84         O_vtc_vs <= 1'b0;
85         O_vtc_hs <= 1'b0;
86         O_vtc_de <= 1'b0;
87         O_vtc2_de <= 1'b0;
88     end
89     else begin
90         O_vtc_vs <= vtc_vs; //场同步信号打拍输出
91         O_vtc_hs <= vtc_hs; //行同步信号打拍输出
92         O_vtc_de <= vtc_de; //视频有效信号打拍输出
93         O_vtc2_de <= vtc2_de; //画中画,数据有效绘制信号
94     end
95 end
96 
97 endmodule

 

 

2.3 uiwave.v源码

uiwave.v

  1 /*************uiwave简易波形绘制驱动******************************
  2 --版本号1.0
  3 --1.代码简洁,占用极少逻辑资源,代码结构清晰,逻辑设计严谨
  4 --2.使用方便,只需要输入ADC的值,就能完成波形绘制
  5 --3.占用资源少,波形输入8bits ADC值,存储到BLOCK RAM 只需要1048*8bit 大小的BRAM,即可完成1通道的波形存储
  6 --4.乒乓绘制,当绘制一个波形的时候,另外个波形存储到另外一段地址空间
  7 --5.绘制过程中,每一行数据都读出和Y坐标匹配,如果匹配成功,使能O_pixel_en绘制这个数据点
  8 --6.背景绘制黑色
  9 --7.栅格绘制白色
 10 --8.支持多通道绘制
 11 *********************************************************************/
 12 
 13 `timescale 1ns / 1ns
 14 module uiwave
 15 (
 16 //波形1
 17 input         I_wave1_clk,      //波形1时钟
 18 input  [7 :0] I_wave1_data,     //波形1数据
 19 input         I_wave1_data_de,  //波形1数据有效
 20 
 21 //波形2
 22 input         I_wave2_clk,      //波形2时钟
 23 input  [7 :0] I_wave2_data,     //波形2数据
 24 input         I_wave2_data_de,  //波形2数据有效
 25 
 26 //VTC时序输入
 27 input         I_vtc_rstn,       //时序复位输入
 28 input         I_vtc_clk,        //时序时钟输入
 29 input         I_vtc_vs,         //VS-帧同步,信号同步输入
 30 input         I_vtc_de,         //de有效区域,信号同步输入
 31 
 32 //同步时序输出,以及像素输出
 33 output        O_vtc_vs,         //帧同步输出
 34 output        O_vtc_de,         //de信号同步后输出
 35 output reg [23:0] O_vtc_rgb     //同步输出显示颜色
 36 );
 37 
 38 reg  [1 :0] vtc_vs_r; //vs寄存器
 39 reg  [1 :0] vtc_de_r; //de寄存器
 40 reg  [11 :0] vcnt,hcnt;//vcnt计数有多少行,hcnt计数有多少列
 41 
 42 reg    grid_de; //栅格绘制使能
 43 
 44 assign O_vtc_vs = vtc_vs_r[0]; //同步后输出O_vtc_vs
 45 assign O_vtc_de = vtc_de_r[0]; //同步后输出O_vtc_de
 46 
 47 //寄存,同步
 48 always @(posedge I_vtc_clk)begin
 49     vtc_vs_r <= {vtc_vs_r[0],I_vtc_vs};
 50     vtc_de_r <= {vtc_de_r[0],I_vtc_de};
 51 end
 52 
 53 //以下hcnt用于计数列,vcnt用于计数行数
 54 
 55 //hcnt像素计数器
 56 always @(posedge I_vtc_clk)begin
 57     if(hcnt == 1023)
 58         hcnt <= 12'd0;
 59     else if(vtc_de_r[0] && (hcnt != 1023)) //hcnt计数列,共计1024个像素
 60         hcnt <= hcnt + 1'b1;
 61 end
 62 
 63 //vcnt计数有多少行
 64 always @(posedge I_vtc_clk)begin
 65     if(vtc_vs_r == 2'b01)
 66         vcnt <= 8'd0;
 67     else if((vtc_de_r == 2'b10) && (vcnt != 255)) //以de信号用于计数行,共计256行
 68         vcnt <= vcnt + 1'b1;
 69 end
 70 
 71 //栅格绘制
 72 always @(posedge I_vtc_clk)begin
 73     if((hcnt[2:0]==7&&(vcnt[5:0]==63||vcnt == 0))||((hcnt[5:0]==63||hcnt==0)&&vcnt[2:0]==7)||(vcnt == 0 && hcnt==0)) 
 74         grid_de <= O_vtc_de;
 75     else 
 76         grid_de <= 1'b0;
 77 end 
 78 
 79 //1--绘制波形曲线1,绿色点
 80 //2--绘制波形曲线2,黄色点
 81 //3--绘制栅格虚线,白色点
 82 //4--绘制背景色,黑色
 83 always @(posedge I_vtc_clk)begin
 84     casex({grid_de,wave2_pixel_en,wave1_pixel_en})
 85             3'bxx1:
 86                O_vtc_rgb <= {8'h00,8'hff,8'h00};   //wave1信号显示像素颜色
 87             3'bx10:
 88                O_vtc_rgb <= {8'hff,8'hff,8'h00};   //wave2信号显示像素颜色
 89             3'b100:
 90                O_vtc_rgb <= {8'h96,8'h96,8'h96};   //网格显示像素为白色点
 91         default:
 92                O_vtc_rgb <= {8'h00,8'h00,8'h00};   //黑色背景
 93     endcase
 94 end 
 95 
 96 //波形缓存1,以及波形绘制像素点输出使能
 97 uiwave_buf uiwave1_buf_inst
 98 (
 99 .I_wave_clk(I_wave1_clk),  //写数据输入时钟,和ADC采集时钟同步
100 .I_wave_data(I_wave1_data),//写数据
101 .I_wave_data_de(I_wave1_data_de),//写数据有效
102 .I_vtc_clk(I_vtc_clk),    //VTC时序发生器时钟输入
103 .I_vtc_rstn(I_vtc_rstn),  //VTC时序发生器复位 
104 .I_vtc_de_r(vtc_de_r[0]), //VTC时序发生器的de有效区域输入
105 .I_vtc_vs(I_vtc_vs),      //VTC时序发生器的VS同步信号输入
106 .I_vtc_vcnt(vcnt),        //vtc的数据偏移,主要对有符号数据进行调整
107 .O_pixel_en(wave1_pixel_en) //输出输出使能
108 );
109 
110 //波形缓存2,以及波形绘制像素点输出使能
111 uiwave_buf uiwave2_buf_inst
112 (
113 .I_wave_clk(I_wave2_clk),   //写数据输入时钟,和ADC采集时钟同步
114 .I_wave_data(I_wave2_data), //写数据
115 .I_wave_data_de(I_wave2_data_de),//写数据有效
116 .I_vtc_clk(I_vtc_clk),           //VTC时序发生器时钟输入
117 .I_vtc_rstn(I_vtc_rstn),         //VTC时序发生器复位 
118 .I_vtc_de_r(vtc_de_r[0]),        //VTC时序发生器的de有效区域输入
119 .I_vtc_vs(I_vtc_vs),             //VTC时序发生器的VS同步信号输入
120 .I_vtc_vcnt(vcnt),               //vtc的数据偏移,主要对有符号数据进行调整
121 .O_pixel_en(wave2_pixel_en)      //输出输出使能
122 );
123 
124 endmodule

uiwave_buf.v源码中有以下代码

assign   O_pixel_en  = I_vtc_de_r&(I_vtc_vcnt[7:0] == wave_data[7:0]);

pixel_en_o信号就是wave1_pixel_enwave2_pixel_en信号,在上级对wave1_pixel_enwave2_pixel_en

有效的像素赋值,输出波形点颜色,grid_de有效的区域显示栅格点,其他区域显示黑色背景。

Uiwave.v绘制背景、栅格、波形点的代码如下:

 

 1 //1--绘制波形曲线1,绿色点
 2 //2--绘制波形曲线2,黄色点
 3 //3--绘制栅格虚线,白色点
 4 //4--绘制背景色,黑色
 5 always @(posedge I_vtc_clk)begin
 6     casex({grid_de,wave2_pixel_en,wave1_pixel_en})
 7             3'bxx1:
 8                O_vtc_rgb <= {8'h00,8'hff,8'h00};   //wave1信号显示像素颜色
 9             3'bx10:
10                O_vtc_rgb <= {8'hff,8'hff,8'h00};   //wave2信号显示像素颜色
11             3'b100:
12                O_vtc_rgb <= {8'h96,8'h96,8'h96};   //网格显示像素为白色点
13         default:
14                O_vtc_rgb <= {8'h00,8'h00,8'h00};   //黑色背景
15     endcase
16 end 

 

 

2.4 uiwave_buf.v通过BRAM缓存ADC数据

通过控制buf_flag信号,每次读写的地址进行切换,确保不会对同一个地址同时进行读写,造成数据冲突。

 

  1 /*************uiwave_buf简易波形绘制驱动******************************
  2 --版本号1.0
  3 --1.代码简洁,占用极少逻辑资源,代码结构清晰,逻辑设计严谨
  4 --2.使用方便,只需要输入ADC的值,就能完成波形绘制
  5 --3.占用资源少,波形输入8bits ADC值,存储到BLOCK RAM 只需要1048*8bit 大小的BRAM,即可完成1通道的波形存储
  6 --4.乒乓绘制,当绘制一个波形的时候,另外一个波形存储到另外一段地址空间
  7 --5.绘制过程中,每一行数据都读出和Y坐标匹配,如果匹配成功,使能O_pixel_en绘制这个数据点
  8 *********************************************************************/
  9 `timescale 1ns / 1ns //仿真时间刻度/精度
 10 
 11 module uiwave_buf
 12 (
 13 input         I_wave_clk,    //写数据输入时钟,和ADC采集时钟同步
 14 input  [7 :0] I_wave_data,   //写数据
 15 input         I_wave_data_de,//写数据有效
 16 input         I_vtc_clk,     //VTC时序发生器时钟输入
 17 input         I_vtc_rstn,    //VTC时序发生器复位 
 18 input         I_vtc_vs,      //VTC时序发生器的VS同步信号输入
 19 input         I_vtc_de_r,    //VTC时序发生器的de有效区域输入
 20 input  [7 :0] I_vtc_vcnt,    //vtc的数据偏移,主要对有符号数据进行调整
 21 output        O_pixel_en     //输出输出使能
 22 );
 23 
 24 //BRAM 简单双口BRAM
 25 reg  [9 :0] addra = 0;  //BRAM 通道A地址     
 26 //reg         ena   = 0;  //BRAM 通道A使能 
 27 reg         wea   = 0;  //BRAM 通道A写使能
 28 reg  [9 :0] addrb = 0;  //BRAM 通道B地址
 29 reg         enb   = 0;  //BRAM 通道B读使能
 30 reg  [0 :0] WR_S,RD_S;  //写状态机,读状态机
 31 reg         buf_flag;//buf_flag用于乒乓地址缓存切换
 32 reg         addr0_en;//用于设置写第一个数据相对地址0
 33 
 34 wire [7 :0] wave_data;//写波形数据到BRAM
 35 reg  [3 :0] async_vtc_vs =0; //同步信号
 36 
 37 always @(posedge I_wave_clk)begin //对异步I_vtc_vs采样
 38     async_vtc_vs <= {async_vtc_vs[2:0],I_vtc_vs};
 39 end
 40 
 41 //绘制波形数据点使能,绘制原理:
 42 //当匹配到存储的ADC数据和正在扫描的Y坐标值一致就输出,每个X坐标方向绘制1个波形点
 43 assign   O_pixel_en  = I_vtc_de_r&(I_vtc_vcnt[7:0] == wave_data[7:0]);
 44 
 45 //写BRAM 状态机
 46 always @(posedge I_wave_clk or negedge I_vtc_rstn)begin
 47     if(I_vtc_rstn == 1'b0)begin //复位重置所有寄存器
 48        addra      <= 10'd0;
 49        addr0_en   <= 1'b1;
 50        wea        <= 1'b0; 
 51        buf_flag   <= 1'b0;
 52        WR_S       <= 1'd0;
 53     end
 54     else begin
 55         case(WR_S) //写状态机
 56         0:begin 
 57               if(I_wave_data_de)begin //有效波形数据点
 58                if(addra == 1023)begin //1024个数据写完
 59                  wea      <= 1'b0; //停止写
 60                  addra    <= 0;    //相对地址设置0
 61                  addr0_en <= 1'b1;
 62                  WR_S     <= 1'd1;//进入状态机1
 63                end
 64                else begin //写入1024个数据
 65                  wea      <= 1'b1; //写使能
 66                  addr0_en <= 1'b0;
 67                  addra    <= (addr0_en == 1'b0) ? (addra + 1'b1) : 0;//相对地址递增
 68                end
 69             end
 70             else begin
 71               wea <= 1'b0;
 72             end
 73         end
 74         1:begin //等待VTC时序同步
 75             if(async_vtc_vs[3:2] == 2'b10)begin//当数据同步后,准备下一次写
 76                WR_S     <= 1'd0; //回到状态0
 77                buf_flag <= ~buf_flag;//乒乓地址切换
 78             end
 79         end
 80         default:WR_S   <= 2'd0;
 81         endcase
 82      end
 83 end
 84 
 85 //读BRAM 状态机
 86 always @(posedge I_vtc_clk or negedge I_vtc_rstn)begin
 87     if(I_vtc_rstn == 1'b0)begin//复位重置所有寄存器
 88        addrb   <= 10'd0;
 89        RD_S    <= 1'd0;
 90     end
 91     else begin
 92         case(RD_S)
 93         0:begin
 94             if(I_vtc_de_r)begin //I_vtc_de_r代表了有效绘制区域
 95                if(addrb == 1023)begin //1024个数据读完
 96                  addrb <= 0;    //相对地址设置0
 97                  RD_S  <= 1'd1; //进入状态1
 98                end
 99                else //没一样都会扫描所有的ADC数据
100                  addrb   <= addrb + 1'b1;//相对地址递增
101             end
102         end
103         1:begin
104             if(I_vtc_de_r == 0) //等待de变为0
105                 RD_S <= 0; //回到状态0重新扫描
106                 
107         end
108         default:RD_S   <= 1'd0;
109         endcase
110      end
111 end   
112 
113 wave_ram buf_inst( 
114 .dina(I_wave_data), //写入波形数据
115 .addra({buf_flag,addra}), //写地址,其中addra是相对地址,buf_flag是地址高位,用于读写的乒乓切换
116 .wea(wea), //写使能
117 .clka(I_wave_clk),//写时钟
118 .doutb(wave_data), //读出的波形数据
119 .addrb({~buf_flag,addrb}), //写地址,其中addrb是相对地址,buf_flag是地址高位,用于读写的乒乓切换
120 .clkb(I_vtc_clk)//读时钟
121 );
122 endmodule

 

 

2.5 BRAM IP设置

关于更多BRAMIP介绍请阅读前面关于BRAM的实验

数据输入8bits,深度2048,这样设计可以使用最少的BRAM完成2段曲线的存储。所以如果是ADC数据,输入高8bit即可。

3 测试数据产生

为了验证波形显示驱动,我们编写了一个简单的三角波程序

 

  1 /**********************wave_test波形测试显示*************************
  2 *********************************************************************/
  3 
  4 `timescale 1ns / 1ns//仿真时间刻度/精度
  5 
  6 module wave_test
  7 (
  8 input           I_sysclk,         //系统时钟输入   
  9 output          O_hdmi_clk_p,       //HDMI时钟输出 P端
 10 output          O_hdmi_clk_n,       //HDMI时钟输出 N端
 11 output [2:0]    O_hdmi_tx_p,        //HDMI数据输出 P端
 12 output [2:0]    O_hdmi_tx_n         //HDMI数据输出 N端
 13 );
 14 
 15 localparam SYSCLKHZ     =  25_000_000; //定义系统时钟25MHZ
 16 localparam T500MS_CNT   = (SYSCLKHZ-1); //定义每500ms访问一次EEPROM 
 17 
 18 //上电延迟复位
 19 reg [7:0]    rst_cnt=0; //复位计数器 
 20 wire  rstn = rst_cnt[7];//用高位复位
 21 wire pclkx1,pclkx5,clk100M,locked; //MMCM/PLL时钟信号    
 22 
 23 wire vtc_rstn,vtc_clk,vtc_vs,vtc_hs,vtc_de,vtc2_grid_de_o,vtc2_de_o;
 24 wire [23:0] rgb_o; //RGB颜色寄存器
 25 
 26 assign vtc_clk  = pclkx1;//像素时钟
 27 assign vtc_rstn = locked;//
 28 assign S_rst = ~locked;
 29 
 30 //PLL时钟管理IP 输出 pclkx1和pclkx5以及locked信号
 31 pll U_pll(
 32 .refclk   ( I_sysclk    ),//系统时钟输入
 33 .reset    ( !rst_cnt[7] ),
 34 .lock     ( locked      ),//PLL LOCKED
 35 .clk0_out ( pclkx1      ),//像素时钟
 36 .clk1_out ( pclkx5      ),//HDMI IO的serdes 时钟 5倍的像素时钟
 37 .clk2_out ( clk100M     )//100M时钟,给ADC采集用
 38 ); 
 39 
 40 always @(posedge I_sysclk)begin
 41     if (rst_cnt[7])
 42         rst_cnt <=  rst_cnt;
 43     else
 44         rst_cnt <= rst_cnt+1'b1;
 45 end
 46 
 47 //hdmi 输出IP
 48 hdmi_tx#(
 49  //HDMI视频参数设置       
 50 .H_ActiveSize       (1280), //视频时间参数,行视频信号,一行有效(需要显示的部分)像素所占的时钟数,一个时钟对应一个有效像素
 51 .H_SyncStart        (1280+88), //视频时间参数,行同步开始,即多少时钟数后开始产生行同步信号 
 52 .H_SyncEnd          (1280+88+44),//视频时间参数,行同步结束,即多少时钟数后停止产生行同步信号,之后就是行有效数据部分
 53 .H_FrameSize        (1280+88+44+239), //视频时间参数,行视频信号,一行视频信号总计占用的时钟数
 54 
 55 .V_ActiveSize       (720),//视频时间参数,场视频信号,一帧图像所占用的有效(需要显示的部分)行数量,通常说的视频分辨率即H_ActiveSize*V_ActiveSize
 56 .V_SyncStart        (720+4),//视频时间参数,场同步开始,即多少行数后开始产生场同步信号 
 57 .V_SyncEnd          (720+4+5), //视频时间参数,场同步结束,多少行后停止产生长同步信号  
 58 .V_FrameSize        (720+4+5+28),  //视频时间参数,场视频信号,一帧视频信号总计占用的行数量               
 59      
 60 .VIDEO_VIC          ( 16       ),
 61 .VIDEO_TPG          ( "Disable"),//设置disable,用户数据驱动HDMI接口,否则设置eable产生内部测试图形
 62 .VIDEO_FORMAT       ( "RGB444" )//设置输入数据格式为RGB格式
 63 )u_hdmi_tx
 64 (
 65 .I_pixel_clk        ( pclkx1           ),//像素时钟
 66 .I_serial_clk       ( pclkx5           ),//串行发送时钟
 67 .I_rst              ( S_rst              ),//异步复位信号,高电平有效
 68 
 69 //.I_video_in_user    ( vtc_user         ),//视频输入帧起始信号
 70 //.I_video_in_valid   ( vtc_de_valid     ),//视频输入有效信号
 71 //.I_video_in_last    ( vtc_last         ),//视频输入行结束信号
 72 //.I_video_in_data    ( video_hdmi_data  ),//视频输入数据
 73 
 74 .I_video_rgb_enable (1'b1               ),//是否使能RGB输入接口,设置1使能,否则采用stream video时序接口  
 75 .I_video_in_vs      (vtc_vs            ),//RGB 输入VS 帧同步
 76 .I_video_in_de      (vtc_de       ),//RGB 输入de有效
 77 .I_video_in_data    (rgb_o), //视频输入数据     
 78 
 79 .O_hdmi_clk_p       ( O_hdmi_clk_p       ),//HDMI时钟通道
 80 .O_hdmi_tx_p        ( O_hdmi_tx_p        )//HDMI数据通道
 81 );
 82 
 83 //此VTC IP 用于产生绘制波形的有效区域,波形绘制区域大小未1024*600
 84 uivtc#
 85 (
 86 .H_ActiveSize(1280),          //视频时间参数,行视频信号,一行有效(需要显示的部分)像素所占的时钟数,一个时钟对应一个有效像素
 87 .H_FrameSize(1280+88+44+239), //视频时间参数,行视频信号,一行视频信号总计占用的时钟数
 88 .H_SyncStart(1280+88),        //视频时间参数,行同步开始,即多少时钟数后开始产生行同步信号 
 89 .H_SyncEnd(1280+88+44),       //视频时间参数,行同步结束,即多少时钟数后停止产生行同步信号,之后就是行有效数据部分
 90 .V_ActiveSize(720),           //视频时间参数,场视频信号,一帧图像所占用的有效(需要显示的部分)行数量,通常说的视频分辨率即H_ActiveSize*V_ActiveSize
 91 .V_FrameSize(720+4+5+28),     //视频时间参数,场视频信号,一帧视频信号总计占用的行数量
 92 .V_SyncStart(720+4),          //视频时间参数,场同步开始,即多少行数后开始产生场同步信号 
 93 .V_SyncEnd (720+4+5),         //视频时间参数,场同步结束,即多少场数后停止产生场同步信号,之后就是场有效数据部分
 94 .H2_ActiveSize(1024),         //波形绘制区域行像素大小        
 95 .V2_ActiveSize(256)           //波形绘制区域场像素大小 
 96 )
 97 uivtc_inst
 98 (
 99 .I_vtc_clk(vtc_clk),         //系统时钟
100 .I_vtc_rstn(vtc_rstn),       //系统复位
101 .I_vtc2_offset_x(128),         //X坐标相对屏幕的原始坐标的偏移
102 .I_vtc2_offset_y(200),         //Y坐标相对屏幕的原始坐标的偏移
103 .O_vtc_vs(vtc_vs),//场同步输出
104 .O_vtc_hs(vtc_hs),//行同步输出
105 .O_vtc_de(vtc_de),//视频数据有效
106 .O_vtc2_de(vtc2_de_o)//绘制波形显示区域的有效区域
107 );
108 
109 //测试数据产生,通过test_data产生测试数据,可以用于测试波形显示器的基本功能测试
110 
111 reg [25:0]  t500ms_cnt   = 26'd0;//500ms计数器
112 
113 wire t500ms_en = (t500ms_cnt==T500MS_CNT);//500ms 使能信号
114 
115 //每间隔500ms状态机运行一次
116 always@(posedge clk100M) begin
117     if(locked == 0)
118         t500ms_cnt <= 0;
119     else if(t500ms_cnt == T500MS_CNT) 
120         t500ms_cnt <= 0;
121     else 
122         t500ms_cnt <= t500ms_cnt + 1'b1;
123 end
124 
125 reg [1:0]WAVE_S;       //写数据状态机
126 reg [9:0]test_data =0; //测试数据
127 
128 wire data_en = (WAVE_S == 0|| WAVE_S == 1); //写数据使能
129 
130 always @(posedge clk100M)begin
131     if(locked == 1'b0)begin
132         WAVE_S    <= 2'd2;
133         test_data <=10'd0;
134     end
135     else begin
136        case(WAVE_S)
137             0:begin
138               if(test_data == 255)
139                 WAVE_S <= 2'd1;
140               else 
141                 test_data <= test_data + 1'b1; //数据递增
142             end
143             1:begin
144               if(test_data == 0)
145                 WAVE_S <= 2'd2;
146               else 
147                 test_data <= test_data - 1'b1; //数据递减
148             end
149             2:
150             if(t500ms_en)
151                 WAVE_S <= 2'd0;
152             else 
153                 WAVE_S <= WAVE_S;
154             default:begin
155                 WAVE_S <= 2'd0;
156             end
157        endcase  
158     end
159 end
160 
161 //例化波形显示器 IP,默认支持2个通道数据,可以扩展支持更多通道
162 uiwave uiwave_inst
163 (
164 //波形1
165 .I_wave1_clk(clk100M),//系统时钟输入
166 .I_wave1_data(test_data[7:0]),//波形测试数据
167 .I_wave1_data_de(data_en),//数据有效信号
168 
169 //波形2
170 .I_wave2_clk(clk100M),//系统时钟输入
171 .I_wave2_data(~test_data[7:0]),//演示2通道显示,对另外一个波形通道取反
172 .I_wave2_data_de(data_en),//数据有效信号
173 
174 .I_vtc_rstn(vtc_rstn),//时序发生复位
175 .I_vtc_clk (vtc_clk), //像素时钟
176 .I_vtc_vs  (vtc_vs),  //场同步输出
177 .I_vtc_de  (vtc2_de_o),//同步,绘制波形显示区域的有效区域
178 .O_vtc_rgb(rgb_o)//同步RGB数据 绘制数据输出   
179     
180 );
181 
182 endmodule

 

 

4 测试结果

4.1 硬件接线

(该教程为通用型教程,教程中仅展示一款示例开发板的连接方式,具体连接方式以所购买的开发板型号以及结合配套代码管脚约束为准。)

请确保下载器和开发板已经正确连接,并且开发板已经上电(注意JTAG端子不支持热插拔,而USB接口支持,所以在不通电的情况下接通好JTAG后,再插入USB到电脑,之后再上电,以免造成JTAG IO损坏)

4.2 运行结果

posted @ 2024-07-31 19:09  米联客(milianke)  阅读(8)  评论(0编辑  收藏  举报