上一页 1 ··· 3 4 5 6 7 8 9 10 11 ··· 20 下一页
摘要: 基于FPGA的火焰识别系统开发的详细版欢迎订阅本博: https://blog.csdn.net/ccsss22/article/details/115406504 1.问题描述 传统的森林火灾检测技术由于效率低、价格昂贵等缺点,并不适用于森林火灾探测。文中提出并设计与实现了一种基于小波变换的森林视 阅读全文
posted @ 2022-12-16 19:43 fpga和matlab 阅读(55) 评论(0) 推荐(0) 编辑
摘要: 1.软件版本 matlab2013b 2.系统原理 对于图像超分辨率重建的问题,常常涉及到大规模的方程组求解,且方程的维数往往很大。所以正则化方法的求解算法中常用到迭代算法。这里主要说明一下以迭代Tikhonov正则化方法为例,该方法是利用正则参数的某些先验性质,同时对精确解施加光滑性的条件,按照后 阅读全文
posted @ 2022-12-16 19:35 fpga和matlab 阅读(199) 评论(0) 推荐(1) 编辑
摘要: YOLO发展至YOLOv3时,基本上这个系列都达到了一个高潮阶段,很多实际任务中,都会见到YOLOv3的身上,而对于较为简单和场景,比如没有太密集的目标和极端小的目标,多数时候仅用YOLOv2即可。除了YOLO系列,也还有其他很多优秀的工作,比如结构同样简洁的RetinaNet和SSD。后者SSD其 阅读全文
posted @ 2022-12-15 23:57 fpga和matlab 阅读(299) 评论(0) 推荐(0) 编辑
摘要: 1.软件版本 matlab2013b 2.算法流程概述 通过形态学处理获得二维码部分的图像区域及边界; 采用凸包算法来计算边界上的点集; 然后根据点集来寻找二维码的四个顶点, 然后透视变换矫正,二维码分割得到每个格子中的点。 进行二维码图像归一化。完成二维码修正。 然后做实验比较与其他采用边缘检测加 阅读全文
posted @ 2022-12-15 23:55 fpga和matlab 阅读(270) 评论(0) 推荐(0) 编辑
摘要: up目录 一、理论基础 二、核心程序 三、测试结果 一、理论基础 最小二乘法(又称最小平方法)是一种数学优化技术。它通过最小化误差的平方和寻找数据的最佳函数匹配。利用最小二乘法可以简便地求得未知的数据,并使得这些求得的数据与实际数据之间误差的平方和为最小 。最小二乘法还可用于曲线拟合,其他一些优化问 阅读全文
posted @ 2022-12-15 23:53 fpga和matlab 阅读(75) 评论(0) 推荐(0) 编辑
摘要: up目录 一、理论基础 二、核心程序 三、测试结果 一、理论基础 fft: 快速傅里叶变换 (fast Fourier transform), 即利用计算机计算离散傅里叶变换(DFT)的高效、快速计算方法的统称,简称FFT。快速傅里叶变换是1965年由J.W.库利和T.W.图基提出的。采用这种算法能 阅读全文
posted @ 2022-12-15 23:51 fpga和matlab 阅读(267) 评论(0) 推荐(0) 编辑
摘要: up目录 一、理论基础 二、核心程序 三、测试结果 一、理论基础 匹配滤波器: 匹配滤波器是输出端的信号瞬时功率与噪声平均功率的比值最大的线性滤波器也就是说有最大的信噪比。其滤波器的传递函数形式是信号频谱的共轭。在通信系统中,滤波器是其中重要部件之一,滤波器特性的选择直接影响数字信号的恢复。在数字信 阅读全文
posted @ 2022-12-15 23:50 fpga和matlab 阅读(658) 评论(0) 推荐(0) 编辑
摘要: 1.软件版本 MATLAB2021a2.本算法理论知识 这里,基于小数分频的频率合成器,考虑到你需要实现sigma-delta以及mash等结构。因此,系统的模块结构如下图所示: 下面,我们对这个结构图进行SIMULINK建模。 1.鉴相器采用XOR模块设计。 2.环路滤波器结构如下: 这里通过一个 阅读全文
posted @ 2022-12-13 23:15 fpga和matlab 阅读(315) 评论(0) 推荐(0) 编辑
摘要: 根据论文中的要求,我们所需要的经度纬度和高度来自GPS信号的中的GPGGA的数据。所以提取这三个信息主要是对GPGGA中的数据进行整理。 GPGGA的数据格式如下所示: 然后关于卡尔曼滤波的,也参考我发你的论文即可。 代码运算如下: 依次点击维度,维度卡尔曼,经度,经度卡尔曼,高度,高度卡尔曼,得到 阅读全文
posted @ 2022-12-13 23:11 fpga和matlab 阅读(117) 评论(0) 推荐(0) 编辑
摘要: 1.软件版本 matlab2021a 2.核心代码 clear,clc I1 = rgb2gray(imread('fetch.JPG')); I2 = rgb2gray(imread('fetch1.JPG'));% I1=imresize(I1,[290,290]);% I2=imresize( 阅读全文
posted @ 2022-12-13 23:09 fpga和matlab 阅读(156) 评论(0) 推荐(0) 编辑
上一页 1 ··· 3 4 5 6 7 8 9 10 11 ··· 20 下一页