上一页 1 ··· 8 9 10 11 12 13 14 下一页
摘要: "verilog与c" 阅读全文
posted @ 2017-08-28 16:38 春雨冰河 阅读(107) 评论(0) 推荐(0) 编辑
摘要: 前言 用vivado建立工程的时候选择的型号为:XC7K325tffg676 1 在以下代码文件中,仿真与设计都没有问题。在xdc文件中的时钟约束与锁相环配置中还存在问题,没有寻找到解决办法 "使用手册链接" "原理图链接" design文件 verilog `timescale 1ns / 1ps 阅读全文
posted @ 2017-08-17 16:31 春雨冰河 阅读(309) 评论(0) 推荐(0) 编辑
摘要: 有限状态机: 有限状态机是由寄存器组和组合逻辑构成的硬件时序电路; 其状态(即由寄存器组的1和0的组合状态所构成的有限个状态)只能在同一时钟跳变沿的情况下才能从一个状态转向另一个状态; 究竟转向哪一种状态不但取决于各个输入值,还取决于当前状态; 状态机可用于产生在时钟跳变沿时刻开关的复杂的控制逻辑, 阅读全文
posted @ 2017-08-16 15:49 春雨冰河 阅读(168) 评论(0) 推荐(0) 编辑
摘要: module ex_case verilog `timescale lns/1ns module ex_case( input wire rst_n, input wire sclk, output reg [7:0] o_dv, output reg [7:0] o_data, //数据输入 in 阅读全文
posted @ 2017-08-16 11:27 春雨冰河 阅读(482) 评论(0) 推荐(0) 编辑
摘要: assign赋值语句根本不允许出现在always语句块中 位于begin/end块内的多条阻塞赋值语句是串行执行的; 但是多条非阻塞赋值语句却是并行执行的,这些非阻塞赋值语句都会在其中任何一条语句执行完成之前开始执行。 如果不赋值,wire的默认状态是高阻态,即z。 Note: One thing 阅读全文
posted @ 2017-08-15 19:48 春雨冰河 阅读(213) 评论(0) 推荐(0) 编辑
摘要: module-ex_cnt verilog module ex_cnt( input wire sclk, input wire rst_n, output wire[9:0] cnt ); reg [9:0] cnt_r;//_r 代表reg always@(posedge sclk or neg 阅读全文
posted @ 2017-08-15 19:44 春雨冰河 阅读(1164) 评论(0) 推荐(0) 编辑
摘要: 第一个小module-ex_module verilog module ex_module( input wire sclk,//声明模块的时候input变量一定是wire变量 input wire rst_n,//末尾带有_n或者_b代表低电平有效 input wire [7:0] d, outp 阅读全文
posted @ 2017-08-15 19:39 春雨冰河 阅读(598) 评论(0) 推荐(0) 编辑
摘要: 前言 以下安装说明基于已经正确安装vivado 笔者操作环境:linux vivado版本:2015.2 vivado License导入方法: 1. 点击菜单栏【Help】,选择【Manage License...】 2. 点击左侧【Get Licence】下的【Load License】 3. 阅读全文
posted @ 2017-08-12 14:16 春雨冰河 阅读(12508) 评论(0) 推荐(3) 编辑
摘要: 核心代码: ①pTail next = pNew; ②pNew next = NULL; ③pTail = pNew; 设结构体名称为 struct ST; 注:方框代表分配的内存空间,椭圆代表结构体内部定义的指针,单箭头表示复制给指向部分,双箭头代表地址指向的内存空间。 链表链接可以分为图示两大部 阅读全文
posted @ 2017-08-12 09:33 春雨冰河 阅读(319) 评论(0) 推荐(0) 编辑
摘要: ``` #include #include using namespace std; typedef struct Student_data { int data; struct Student_data *next; }*PSD,SD; PSD creat_list(); int length_list(PSD ); bool sort_list(PSD ); bool insert_l... 阅读全文
posted @ 2017-08-12 09:26 春雨冰河 阅读(286) 评论(0) 推荐(0) 编辑
上一页 1 ··· 8 9 10 11 12 13 14 下一页