摘要: 前言 用vivado建立工程的时候选择的型号为:XC7K325tffg676 1 在以下代码文件中,仿真与设计都没有问题。在xdc文件中的时钟约束与锁相环配置中还存在问题,没有寻找到解决办法 "使用手册链接" "原理图链接" design文件 verilog `timescale 1ns / 1ps 阅读全文
posted @ 2017-08-17 16:31 春雨冰河 阅读(309) 评论(0) 推荐(0) 编辑