2020年9月8日

数字系统设计练习(七)—— 简单数码管显示

摘要: 一、实验硬软件环境: EDA软件:Vivado2019 实验开发板:Basys3 FPGA套件 二、实验内容: 实现的电路功能为:本次实验中实现一个简单的数码管显示控制电路,要求四个按键对应4个数码管,当按键1按下, 数码管1显示1;当按键2按下, 数码管2显示2;当按键3按下,数码管3显示3;当按 阅读全文

posted @ 2020-09-08 17:14 不许动我的松子 阅读(770) 评论(0) 推荐(0) 编辑

数字系统设计练习(五)—— 选择语句的使用

摘要: 一、实验硬软件环境: EDA软件:Vivado2019 实验开发板:Basys3 FPGA套件 二、实验内容: 实现的电路功能为:实验板上的四个按键对应四个led灯,按键按下,对应的led灯点亮;几个按键按下则有几个对用的led灯点亮。 要求分别使用if/else以及case语句进行逻辑功能描述。 阅读全文

posted @ 2020-09-08 16:47 不许动我的松子 阅读(164) 评论(0) 推荐(0) 编辑

数字系统设计练习(三)—— 多文件多模块练习

摘要: 一、实验硬软件环境: EDA软件:Vivado2019 实验开发板:Basys3 FPGA套件 二、实验内容: 实现如下电路功能:当开关开启,灯泡按1s的间隔闪烁;开关关闭,则灯泡关闭。使用实验板上的按键模拟开关,led模拟灯泡,时钟使用实验板提供的clk。参考实验板的管脚文件编写约束文件。 要求使 阅读全文

posted @ 2020-09-08 16:26 不许动我的松子 阅读(218) 评论(0) 推荐(0) 编辑

数字系统设计练习(二)—— 跑马灯

摘要: 一、实验硬软件环境: EDA软件:Vivado2019 实验开发板:Basys3 FPGA套件 二、实验内容: 实现如下电路功能:当开关开启,灯泡轮流闪烁,间隔1s,类似跑马灯;开关关闭,则灯泡关闭。使用实验板上的按键模拟开关,led模拟灯泡,时钟使用实验板提供的clk。草靠实验板的管脚文件编写约束 阅读全文

posted @ 2020-09-08 16:03 不许动我的松子 阅读(1081) 评论(0) 推荐(0) 编辑

导航