2020年8月18日

数字系统设计练习(六)—— 系统任务的使用

摘要: 一、实验硬软件环境: EDA软件:Vivado2019 实验开发板:Basys3 FPGA套件 二、实验内容: 实现的电路功能为:当开关开启,led点亮;开关关闭,则led灯关闭,在设计文件和测试文件中,在合适的位置,分别插入$monitor、$display、$stop以及$finish语句,观察 阅读全文

posted @ 2020-08-18 00:09 不许动我的松子 阅读(173) 评论(0) 推荐(0) 编辑

导航