2020年8月17日

数字系统设计练习(四)—— assign语句的使用

摘要: 一、实验硬软件环境: EDA软件:Vivado2019 实验开发板:Basys3 FPGA套件 二、实验内容: 实现的电路功能为:当开关开启,led点亮;开关关闭,则led灯关闭,将图下描述方式改为使用assign持续复制语句描述电路逻辑功能。 module digt_design_example( 阅读全文

posted @ 2020-08-17 11:19 不许动我的松子 阅读(1182) 评论(0) 推荐(0) 编辑

导航