2022年4月14日

callback机制

摘要: 对于vip的开发者来说callback机制的流程如下: 定义一个类A,并定义一个virtual的函数 1 class A extends uvm_callback; 2 virtual task pre_tran(my_driver drv, ref my_transaction tr); 3 en 阅读全文

posted @ 2022-04-14 16:08 阿基米德之音 阅读(106) 评论(0) 推荐(0) 编辑

导航