sequence的启动方式

  • start启动
my_sequence my_seq;
my_seq = my_sequence::type_id::create("my_seq");
my_seq.start(sequencer);
  • default启动(factory机制例化)
uvm_config_db#(uvm_object_wrapper)::set(this,
"env.i_agt.sqr.main_phase",
"default_sequence", case0_sequence::type_id::get());
  • default启动(先实例化)
function void my_case0::build_phase(uvm_phase phase);
  case0_sequence cseq;
  super.build_phase(phase);

  cseq = new("cseq");
  uvm_config_db#(uvm_sequence_base)::set(this,
                                         "env.i_agt.sqr.main_phase",
                                         "default_sequence",
                                         cseq);
endfunction

 

posted on 2022-04-11 15:56  阿基米德之音  阅读(117)  评论(0编辑  收藏  举报

导航