摘要: 请根据题目中给出的双口RAM代码和接口描述,实现异步FIFO,要求FIFO位宽和深度参数化可配置。 电路的接口如下图所示。 双口RAM端口说明: 端口名 I/O 描述 wclk input 写数据时钟 wenc input 写使能 waddr input 写地址 wdata input 输入数据 r 阅读全文
posted @ 2022-07-15 19:57 220nf绿波电龙 阅读(149) 评论(0) 推荐(0) 编辑