摘要: 描述 请编写一个序列检测模块,检测输入信号a是否满足011XXX110序列(长度为9位数据,前三位是011,后三位是110,中间三位不做要求),当信号满足该序列,给出指示信号match。 程序的接口信号图如下: 程序的功能时序图如下: 请使用Verilog HDL实现以上功能,并编写testbenc 阅读全文
posted @ 2022-07-03 19:51 220nf绿波电龙 阅读(111) 评论(0) 推荐(0) 编辑
摘要: 题目描述: 请编写一个序列检测模块,输入信号端口为data,表示数据有效的指示信号端口为data_valid。当data_valid信号为高时,表示此刻的输入信号data有效,参与序列检测;当data_valid为低时,data无效,抛弃该时刻的输入。当输入序列的有效信号满足0110时,拉高序列匹配 阅读全文
posted @ 2022-07-03 19:45 220nf绿波电龙 阅读(200) 评论(0) 推荐(0) 编辑