摘要: Q1: 在编写testbench时,需要对输入不停的赋值。然而不停的#20 data_in = ... 明显不现实。故希望用一个for循环来实现不停输入。 A1: 在一个initial里面新建一个reg, 位数根据需要来去确定。注for循环中,verilog语法不支持自加。 阅读全文
posted @ 2018-11-29 10:21 jtclm 阅读(2275) 评论(0) 推荐(0) 编辑