摘要: Verilog状态机编写 一、状态机的编写分为3种 一段式:将状态转移、状态转移条件、输出全都放置在一个always模块中。 两段式:一个模块用时序逻辑描述状态转移,另一个模块利用组合逻辑描述状态转移条件及输出。 三段式:第一个模块利用时序逻辑编写状态转移(always、非阻塞原语)。 第二个模块利 阅读全文
posted @ 2018-06-26 15:54 jtclm 阅读(333) 评论(0) 推荐(0) 编辑