摘要: Verilog SPI协议 简介 SPI是一种全双工通信,并且是一种同步传输方式(slave的接收clk需要master给出) SPI总线是一种4线总线,因其硬件功能很强,所以与SPI有关的软件就相当简单,使中央处理器(Central Processing Unit,CPU)有更多的时间处理其他事务 阅读全文
posted @ 2020-04-03 22:29 love小酒窝 阅读(2173) 评论(0) 推荐(1) 编辑
摘要: Verilog 奇数分频器 偶数分频的原理就是计数到N/2 1后对分频输出取反。而如果分频数N为基数,则需要: clk_out1 在clk 上升沿 计数到 (N 1)/2 1后取反, 计数到N 1以后再取反 clk_out2 在clk 下降沿 计数到 (N 1)/2 1后取反, 计数到N 1以后再取 阅读全文
posted @ 2020-04-03 16:37 love小酒窝 阅读(1112) 评论(0) 推荐(0) 编辑
摘要: Verilog 无glitch时钟切换电路 https://blog.csdn.net/bleauchat/article/details/96180815 题目:用Verilog实现glitch free时钟切换电路。输入sel,clka,clkb,sel为1输出clka,sel为0输出clkb 阅读全文
posted @ 2020-04-03 16:35 love小酒窝 阅读(1700) 评论(0) 推荐(1) 编辑