摘要: 异步FIFO的verilog代码实现(包含将满和将空逻辑) 代码参考来源: Clifford E. Cummings, "Simulation and Synthesis Techniques for Asynchronous FIFO Design". https://www.cnblogs.co 阅读全文
posted @ 2020-03-07 23:20 love小酒窝 阅读(13024) 评论(2) 推荐(3) 编辑