Verdi 查看二维数组波形

Verdi 查看二维数组波形

调试代码时经常需要查看memory内的数据是否正确,一个一个读出来检查又特别麻烦,不做特别设置的话fsdb不会记录二维数组的值。
只需要在顶层加入

$fsdbDumpMDA();

verdi里就可以拉二维数组的信号查看了。

posted @ 2020-03-18 22:02  love小酒窝  阅读(3002)  评论(0编辑  收藏  举报