covergroup用法

covergroup xxx_cg with function sample(bit i0, bit i1,...);

  i0_cov : coverpoint i0 iff (i1);

endcovergroup

xxx_cg = new();

...

xxx_cg.sample(.i0(vif.i0),i1(vif.i1));

posted on 2024-05-30 10:13  lybinger  阅读(8)  评论(0编辑  收藏  举报

导航