摘要: sudo apt-get install iverilog iverilog用于编译,进行语法检查,生成可执行文件 sudo apt-get install gtkwave vvp根据执行文件,生成仿真波形文件 gtkwave用于打开仿真波形文件,波形图形化。 首先介绍iverilog中比较常用的参 阅读全文
posted @ 2022-04-07 23:40 十点三十睡觉 阅读(1043) 评论(0) 推荐(0) 编辑