iverilog + gtkwave 的使用

sudo apt-get install iverilog     iverilog用于编译,进行语法检查,生成可执行文件

sudo apt-get install gtkwave   vvp根据执行文件,生成仿真波形文件  gtkwave用于打开仿真波形文件,波形图形化。

 

首先介绍iverilog中比较常用的参数

1.-o 用于指定生成文件夹的名称  例:iverilog -o test    test.v

2.-y用于指定包含文件夹。 例:iverilog -y D:/test/demo(不同目录下被调用模块的路径)  led_demo_tb.v   若编译文件与调用文件在同一目录下,使用 ./ + tb模块名即可。

3.-I 如果程序使用`include语句包含了头文件路径,可以通过-i参数指定文件路径,使用方法和-y参数一样。

 

在tb中需要加入iverilog编译器专用的语句,不加后面不能生成vcd文件。

initial

begin            

$dumpfile("wave.vcd"); //生成的vcd文件名称

$dumpvars(0, led_demo_tb); //tb模块名称

end

 

完整实例:

①iverilog -o wave 若有调用则-y

②vvp -n wave -lxt2 //生成vcd文件 若没有生成,检查tb文件中是否有上述所提到的几行代码

③gtkwave  wave .vcd  观看仿真波形

posted @ 2022-04-07 23:40  十点三十睡觉  阅读(1043)  评论(0编辑  收藏  举报