上一页 1 ··· 5 6 7 8 9 10 11 下一页
摘要: #include "DSP2833x_Device.h"#include "DSP2833x_Examples.h"char temp;void gpio_init(void){EALLOW;GpioCtrlRegs.GPBMUX2.all=0x0000;GpioCtrlRegs.GPBDIR.bit.GPIO50=1;GpioCtrlRegs.GPBDIR.bit.GPIO49=1;GpioCtrlRegs.GPBDIR.bit.GPIO48=1;GpioCtrlRegs.GPBPUD.bit.GPIO53=1;GpioCtrlRegs.GPBPUD. 阅读全文
posted @ 2013-08-26 21:36 luxiaolai 阅读(1162) 评论(0) 推荐(0) 编辑
摘要: #include "DSP2833x_Device.h"#include "DSP2833x_Examples.h"unsigned char table[]={ 0xC0, //"0" 0xF9, //"1" 0xA4, //"2" 0xB0, //"3" 0x99, //"4" 0x92, //"5" 0x82, //"6" 0xF8, //"7" 0x80, //"8" 阅读全文
posted @ 2013-08-25 16:42 luxiaolai 阅读(6364) 评论(0) 推荐(0) 编辑
摘要: /*****************************************************************************Copyright: 2013-07-27.version1.0File name: key.cDescription: 自己做的单键识别实现重复按键功能Author: Version: version1.0Date: 2013.7.27History:无*******************************************************/#include sbit key=P0^0;int num=0;/**** 阅读全文
posted @ 2013-07-27 18:08 luxiaolai 阅读(653) 评论(0) 推荐(0) 编辑
摘要: /*****************************************************************************Copyright: 2013File name: main.cDescription: DSP280x的数模转换设计Author:Version: 1.0Date: 2013.07.23History: 无*****************************************************************************/#include "DSP280x_Device.h"#in 阅读全文
posted @ 2013-07-23 20:42 luxiaolai 阅读(931) 评论(0) 推荐(0) 编辑
摘要: module lxl(clk,rst,led,sel,dig);input clk,rst;output reg [7:0] led;output reg [5:0] sel;output [7:0] dig;parameter s1=1'b0,s2=1'b1;reg current_state,next_state;parameter T1s=31'd2_0000_000;reg [4:0] t;reg [31:0] cnt,cnt1;wire w;reg clk_out;always @ (posedge clk or negedge rst)if(!rst)beg 阅读全文
posted @ 2013-06-23 10:17 luxiaolai 阅读(4357) 评论(0) 推荐(0) 编辑
摘要: #include "DSP280x_Device.h"#include "DSP280x_Examples.h"void Init_Gpio(void){EALLOW;GpioCtrlRegs.GPAMUX1.all=0x0000;GpioCtrlRegs.GPADIR.all=0xffff;EDIS;}interrupt void Scia_init(void){GpioDataRegs.GPADAT.all=SciaRegs.SCIRXBUF.all;PieCtrlRegs.PIEACK.all=PIEACK_GROUP9;}void Init_Sc 阅读全文
posted @ 2013-05-27 21:31 luxiaolai 阅读(1218) 评论(0) 推荐(0) 编辑
摘要: #include "DSP280x_Device.h"#include "DSP280x_Examples.h"char dat=0;void delay(void){long int i,j;for(i=0;i<10000;i++)for(j=0;j<1000;j++);}interrupt void Scia_init(void){SciaRegs.SCITXBUF=dat;while(SciaRegs.SCICTL2.bit.TXRDY==1);dat++;if(dat>255)dat=0;delay();PieCtrlRegs. 阅读全文
posted @ 2013-05-27 20:43 luxiaolai 阅读(578) 评论(0) 推荐(0) 编辑
摘要: #include "DSP280x_Device.h"#include "DSP280x_Examples.h"char dat=0;void Init_Sci(void){InitSysCtrl();InitSciGpio();SciaRegs.SCICCR.bit.SCICHAR=7;SciaRegs.SCICCR.bit.STOPBITS=0;SciaRegs.SCICTL1.bit.TXENA=1;SciaRegs.SCIHBAUD=0x01;SciaRegs.SCILBAUD=0x44;SciaRegs.SCICTL1.bit.SWRESET= 阅读全文
posted @ 2013-05-26 21:18 luxiaolai 阅读(277) 评论(0) 推荐(0) 编辑
摘要: #include "DSP280x_Device.h"#include "DSP280x_Examples.h"void Init(void){InitSysCtrl();InitSciGpio();SciaRegs.SCICCR.bit.SCICHAR=7;SciaRegs.SCICCR.bit.STOPBITS=0;SciaRegs.SCIHBAUD=0x01;SciaRegs.SCILBAUD=0x44;SciaRegs.SCICTL1.bit.RXENA=1;SciaRegs.SCICTL1.bit.SWRESET=1;}void Init_Gp 阅读全文
posted @ 2013-05-26 20:57 luxiaolai 阅读(250) 评论(0) 推荐(0) 编辑
摘要: 初次使用keil3,光标总是定位不准,修改十分麻烦,google后解决问题,修改tools.ini如下(蓝色为加入项):NAME="YGLenovo User", "a"EMAIL="a"ANSI=1BOOK0="UV3\RELEASE_NOTES.HTM" ("uVision Release Notes",GEN)补充:输入的汉字也总觉得看着不爽,查看后发现是设置问题,如下改变后,即美观:Edit->Configuration->Color&Fonts->8051:E 阅读全文
posted @ 2013-04-14 20:29 luxiaolai 阅读(129) 评论(0) 推荐(0) 编辑
上一页 1 ··· 5 6 7 8 9 10 11 下一页