摘要: #include "DSP280x_Device.h"#include "DSP280x_Examples.h"void Init_Gpio(void){EALLOW;GpioCtrlRegs.GPAMUX1.all=0x0000;GpioCtrlRegs.GPADIR.all=0xffff;EDIS;}interrupt void Scia_init(void){GpioDataRegs.GPADAT.all=SciaRegs.SCIRXBUF.all;PieCtrlRegs.PIEACK.all=PIEACK_GROUP9;}void Init_Sc 阅读全文
posted @ 2013-05-27 21:31 luxiaolai 阅读(1218) 评论(0) 推荐(0) 编辑
摘要: #include "DSP280x_Device.h"#include "DSP280x_Examples.h"char dat=0;void delay(void){long int i,j;for(i=0;i<10000;i++)for(j=0;j<1000;j++);}interrupt void Scia_init(void){SciaRegs.SCITXBUF=dat;while(SciaRegs.SCICTL2.bit.TXRDY==1);dat++;if(dat>255)dat=0;delay();PieCtrlRegs. 阅读全文
posted @ 2013-05-27 20:43 luxiaolai 阅读(578) 评论(0) 推荐(0) 编辑