摘要: #include "DSP280x_Device.h"#include "DSP280x_Examples.h"char dat=0;void Init_Sci(void){InitSysCtrl();InitSciGpio();SciaRegs.SCICCR.bit.SCICHAR=7;SciaRegs.SCICCR.bit.STOPBITS=0;SciaRegs.SCICTL1.bit.TXENA=1;SciaRegs.SCIHBAUD=0x01;SciaRegs.SCILBAUD=0x44;SciaRegs.SCICTL1.bit.SWRESET= 阅读全文
posted @ 2013-05-26 21:18 luxiaolai 阅读(277) 评论(0) 推荐(0) 编辑
摘要: #include "DSP280x_Device.h"#include "DSP280x_Examples.h"void Init(void){InitSysCtrl();InitSciGpio();SciaRegs.SCICCR.bit.SCICHAR=7;SciaRegs.SCICCR.bit.STOPBITS=0;SciaRegs.SCIHBAUD=0x01;SciaRegs.SCILBAUD=0x44;SciaRegs.SCICTL1.bit.RXENA=1;SciaRegs.SCICTL1.bit.SWRESET=1;}void Init_Gp 阅读全文
posted @ 2013-05-26 20:57 luxiaolai 阅读(250) 评论(0) 推荐(0) 编辑