摘要: module pwm(CLOCK,RESET,LED);input CLOCK,RESET;output reg [3:0] LED;parameter T1s=32'd1_00000;reg [31:0] t,count;reg [31:0] w;///*always @(posedge CLOCK or negedge RESET)if(!RESET)begincount<=0;t<=0;LED[0]<=0;endelse if(count==T1s)begincount<=0;t<=t+1000;if(t==T1s)t<=0;endelsebe 阅读全文
posted @ 2013-03-31 21:20 luxiaolai 阅读(750) 评论(0) 推荐(0) 编辑
摘要: 对于频率测量,有很多的测试方法,这里我们介绍一种采用基准频率计数的方法测试频率。该测试方法非常容易理解。首先设计两个计数器BASE_CLK_CNT和CLK_TST_CNT,基准时钟BASE_CLK作为计数器BASE_CLK_CNT的输入时钟,被测信号CLK_TST作为CLK_TST_CNT的输入时钟。两个计数器同时开始计数,当CLK_TST_CNT计数到n时计数结束,这个时候根据BASE_CLK_CNT的值m和BASE_CLK的频率,可以计算出CLK_TST的频率。在上述的测频方法中,两个计数器同时开始计数是整个设计的难点。由于CLK_TST和BASE_CLK是两个异步信号,如果不对信号做特定 阅读全文
posted @ 2013-03-31 10:25 luxiaolai 阅读(2539) 评论(0) 推荐(0) 编辑