摘要: #include "DSP280x_Device.h"#include "DSP280x_Examples.h"char a=0xfe;void sci_init(void){InitSysCtrl();InitSciGpio();EALLOW;SciaRegs.SCICCR.bit.PARITYENA=0;SciaRegs.SCICCR.bit.STOPBITS=0;SciaRegs.SCICCR.bit.SCICHAR=7;SciaRegs.SCIHBAUD=0x01;SciaRegs.SCILBAUD=0x44;SciaRegs.SCICTL1.a 阅读全文
posted @ 2013-01-17 20:52 luxiaolai 阅读(320) 评论(0) 推荐(0) 编辑