verilog触发器

代码在git

├── compile.sh
├── fn
├── fn_sw.v
├── stimulus_tb.v
├── test.vcd
└── wave.lxt
sh   compile.sh

在这里插入图片描述

posted @ 2022-08-19 22:43  luoganttcc  阅读(2)  评论(0编辑  收藏  举报