摘要: library std ;use std.standard.all ;PACKAGE std_logic_1164 IS ------------------------------------------------------------------- -- logic state system (unresolved) ------------------------------------------------------------------- TYPE std_ulogic IS ( 'U', -- Uninitialized ... 阅读全文
posted @ 2013-04-11 21:57 lteacher 阅读(436) 评论(0) 推荐(0) 编辑
摘要: library IEEE;use IEEE.std_logic_1164.all;package std_logic_arith is type UNSIGNED is array (NATURAL range <>) of STD_LOGIC; type SIGNED is array (NATURAL range <>) of STD_LOGIC; subtype SMALL_INT is INTEGER range 0 to 1; function "+"(L: UNSIGNED; R: UNSIGNED) return UNSIGNED; f 阅读全文
posted @ 2013-04-11 21:54 lteacher 阅读(338) 评论(0) 推荐(0) 编辑
摘要: library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;package STD_LOGIC_SIGNED is function "+"(L: STD_LOGIC_VECTOR; R: STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function "+"(L: STD_LOGIC_VECTOR; R: INTEGER) return STD_LOGIC_VECTOR; function "+"(L: INTEG 阅读全文
posted @ 2013-04-11 21:52 lteacher 阅读(335) 评论(0) 推荐(0) 编辑
摘要: library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;package STD_LOGIC_UNSIGNED is function "+"(L: STD_LOGIC_VECTOR; R: STD_LOGIC_VECTOR) return STD_LOGIC_VECTOR; function "+"(L: STD_LOGIC_VECTOR; R: INTEGER) return STD_LOGIC_VECTOR; function "+"(L: INT 阅读全文
posted @ 2013-04-11 21:51 lteacher 阅读(477) 评论(0) 推荐(0) 编辑