Verilog--二进制编码到格雷码的转换

二进制编码:bincode,格雷编码:graycode,则两者转化关系为:

graycode = (bincode>>1) ^ bincode;

即二进制编码右移一位然后与原来二进制编码异或。

 

举例说明:

二进制                    格雷
0000 >> 1 = 0000 ^ 0000 = 0000 0001 >> 1 = 0000 ^ 0001 = 0001 0010 >> 1 = 0001 ^ 0010 = 0011 0011 >> 1 = 0001 ^ 0011 = 0010

 

posted @ 2017-08-18 22:28  尽数空  阅读(823)  评论(0编辑  收藏  举报