上一页 1 ··· 3 4 5 6 7 8 9 10 11 ··· 13 下一页
摘要: Win7、Win8、win10操作系统安装USB-Blaster驱动程序过程中无外乎两个问题: 一、Windows无法安装USB-Blaster。 二、文件的哈希值不在指定的目录文件中。 下面以上提供两个问题的完整解决办法,Win7、Win8、win10系统的解决过程是类似的,只是界面不一样而已。 阅读全文
posted @ 2017-01-14 11:40 logic3 阅读(11211) 评论(0) 推荐(0) 编辑
摘要: 信号源参数 采样频率为256Hz,采样点数为4096个点; 信号含有2V的直流分量, 频率为50Hz、相位为-30度、幅度为3V的交流信号, 频率为75Hz、相位为90度、幅度为1.5V的交流信号。 用数学表达式就是如下: S=2+3*cos(2*pi*50*t-pi*30/180)+1.5*cos 阅读全文
posted @ 2017-01-14 11:18 logic3 阅读(1939) 评论(0) 推荐(0) 编辑
摘要: 编译 仿真文件编写 仿真结果 数据结果对比 Modelsim输出的数据测试可以和matlab example里面matlab输出的数据进行对比,对比结果如下,结果表明与matlab输出的处理结果一致,验证了FFT IP核的正确性。 大西瓜FPGA-->https://daxiguafpga.taob 阅读全文
posted @ 2017-01-14 11:17 logic3 阅读(1782) 评论(0) 推荐(0) 编辑
摘要: FFT IP Core Features 参数设置 FFT IP核有4种模式,分别为: 突发模式(Burst); 缓存突发模式(Buffered Burst); 流模式(Streaming); 可变流模式(Variable Streaming); 前3中模式运算速度依次增大,占用资源也依次增加,第4 阅读全文
posted @ 2017-01-14 11:14 logic3 阅读(3682) 评论(0) 推荐(0) 编辑
摘要: 原理介绍 The ALTSHIFT_TAPS IP core supports single-bit and multiple-bit data shifting at oneclock cycle, depending on the width of the shiftin and shiftou 阅读全文
posted @ 2017-01-14 11:01 logic3 阅读(1085) 评论(0) 推荐(0) 编辑
摘要: 仿真结果: 大西瓜FPGA-->https://daxiguafpga.taobao.com 博客资料、代码、图片、文字等属大西瓜FPGA所有,切勿用于商业! 若引用资料、代码、图片、文字等等请注明出处,谢谢! 阅读全文
posted @ 2017-01-14 10:59 logic3 阅读(648) 评论(0) 推荐(0) 编辑
摘要: fsdb display Debussy本身不含模拟器(simulator),必须呼叫外部模拟器(如Verilog-XL or ModelSim)产生FSDB file,其显示波形的单元"nWave"透过读取FSDB file,才能显示波形或讯号值的变化。 FSDB文件产生 ModelSim本身也是 阅读全文
posted @ 2017-01-14 10:55 logic3 阅读(3411) 评论(0) 推荐(0) 编辑
摘要: Debussy简介 Debussy 是NOVAS Software, Inc(思源科技)发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是:能够在HDL source code、schematic diagram、waveform、stat 阅读全文
posted @ 2017-01-14 10:54 logic3 阅读(878) 评论(0) 推荐(0) 编辑
摘要: 下载完成后对软件安装包进行解压缩,得到安装文件和破解文件。 双击Debussy-54v9-NT.exe进行安装,出现以下界面,选择安装的路径。 选择安装类型,最好选择typical类型。 选择相关配置。 进行安装。 选择Yes继续,don't display。 安装完成,电脑左下角进入程序列表,可以 阅读全文
posted @ 2017-01-14 10:49 logic3 阅读(947) 评论(0) 推荐(0) 编辑
摘要: .mif和.hex文件都是Quartus支持的数据文件格式,常被用作内存初始化文件。可是,M odelSim却不支持.mif文件,只支持.hex文件格式,这意味着如果你的设计采用了.mif文件 ,你的设计将无法在ModelSim中进行仿真。所以,我们需要用Quartus打开.mif文件,再另存为.h 阅读全文
posted @ 2017-01-07 16:31 logic3 阅读(3170) 评论(0) 推荐(0) 编辑
上一页 1 ··· 3 4 5 6 7 8 9 10 11 ··· 13 下一页