摘要: 前言 Modelsim是一款专业的仿真软件,特别是在Quartus II 11.0之后的版本,都没有配套自身的仿真软件,所以Modelsim成了在FPGA设计流程中的进行功能仿真的首选仿真软件之一。 ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL 或Verilog 程 阅读全文
posted @ 2016-07-02 20:34 logic3 阅读(548) 评论(0) 推荐(0) 编辑