摘要: 实验二LED 实验内容 在实验一的基础上,将simulink产生的测试信号输出到FPGA开发板上的LED灯进行显示,这里要在生成的硬件模型上进行修改,将传送到FPGA的信号输出到8个LED灯上,并且对信号进行分配引脚。 创建模型 在Matlab的指令窗口输入以下指令,hdlsetuptoolpath 阅读全文
posted @ 2016-06-25 16:47 logic3 阅读(1646) 评论(0) 推荐(0) 编辑
摘要: 实验一 测试 实验内容 在simulink创建测试模块,通过测试模块产生信号,再传送到FPGA,FPGA读出后再将信号无处理传送回simulink进行显示。由此来测试整个硬件在环的功能是否正常,并且熟悉整个基础开发流程。 创建模型 创建开发板的信息 在Matlab的指令窗口输入以下指令,hdlset 阅读全文
posted @ 2016-06-25 16:43 logic3 阅读(4482) 评论(0) 推荐(1) 编辑
摘要: FPGA与simulink联合实时环路系列—开篇 作为网络上第一个开源此技术,笔者迫不及待地想将此技术分享出来,希望大家多多支持。笔者从2011年接触FPGA以来,从各个方面使用FPGA,无论是控制、图像视频、IC前端验证、仿真测试,各个部分都有所触及,2015年第一次接触到FPGA与matlab的 阅读全文
posted @ 2016-06-25 15:07 logic3 阅读(6633) 评论(0) 推荐(0) 编辑