verilog 添加 bsf 路径

1.打开setting --> Libraries.

2.添加路径:

3.然后就可以使用使用新加的库路径了。当然,上面所加的是全局库,也可以加工程库。

4.注意:最后一步,当要加入时,还要最后一步。就是还有加入一个qip脚本文件:

set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "flow_led.v"]

 

posted on 2019-06-03 16:20  lizhuohui  阅读(84)  评论(0编辑  收藏  举报

导航