2019年3月18日

AXI

摘要: 1、Cachable和bufferable一个Master发出一个读写的request,中间要经过很多Buffer,最后才能送到memory。这些Buffer的添加是为了outstanding,timing,performance等。Buffer有两种类型:一种FIFO结构,仅仅就是保存发送Requ 阅读全文

posted @ 2019-03-18 14:22 hematologist 阅读(443) 评论(0) 推荐(0) 编辑

2019年3月15日

MMU二级页表

摘要: https://blog.csdn.net/forDreamYue/article/details/78887035 我之前一直有一个疑惑,为什么同样都是把页表存储在内存中,多级页表就比一级页表要省空间? 如果你也有这个疑惑,看完这篇博客你就明白了 先说一些基本概念(参考《现代操作系统》) 虚拟存储 阅读全文

posted @ 2019-03-15 10:39 hematologist 阅读(2194) 评论(0) 推荐(0) 编辑

2019年3月13日

门控时钟

摘要: 1、http://www.chipsbank.com/news_detail/newsId=123.html 2、理论分析 门控的基本要求: 1. 所需要的沿(对于正沿触发的寄存器是正沿,对于负沿触发的寄存器是负沿)不增加,不减少; 1. 不会产生毛刺; 1. 使用后功耗要能够降低; 1. 最好面积 阅读全文

posted @ 2019-03-13 20:31 hematologist 阅读(1568) 评论(0) 推荐(0) 编辑

uvm layer sequence

摘要: <<UVM实战>>读书笔记 1、IP包整体被作为mac包的负荷,如何有效的产生并控制两个包: 同一个sequence中产生了两种不同的transaction,虽然这两种transaction之间有必然的联系(ip_transaction作为my_transaction的pload) ,但是将它们放在 阅读全文

posted @ 2019-03-13 10:49 hematologist 阅读(556) 评论(0) 推荐(0) 编辑

2019年3月1日

uvm driver、sequencer、sequence工作方式

摘要: 参考:http://blog.eetop.cn/blog-1561828-5940197.html 1、driver&sequencer: driver同sequencer之间的TLM通信采取了get模式,即由driver发起请求,从sequencer一端获得item,再由sequencer将其传递 阅读全文

posted @ 2019-03-01 11:01 hematologist 阅读(2204) 评论(0) 推荐(0) 编辑

SV cast

摘要: 1、$cast 一目了然 图中:向下类型转换,子类类型的句柄访问的方法对于父类类型的实体对象来说,可能不存在,不安全,必须检查; 图中:向上类型转换,父类类型的句柄访问的方法对于子类类型的实体对象来说,一定是存在的,安全,不必检查; 2、$cast 的使用 function int $cast (t 阅读全文

posted @ 2019-03-01 10:46 hematologist 阅读(954) 评论(0) 推荐(0) 编辑

UVM聚合参数类

摘要: <<UVM实战>>读书笔记 1、config_db配置参数聚合类 对于一个大的项目来说,要配置的参数可能有千百个。如果全部使用config_db的写法,就会比较繁琐。 一种比较好的方法就是将这1000个变量放在一个专门的类里面来实现: 在base_test中通过config_db方式set 这个聚合 阅读全文

posted @ 2019-03-01 09:54 hematologist 阅读(1099) 评论(0) 推荐(0) 编辑

2018年8月21日

8-20、贪婪算法

摘要: 贪婪算法: 每步都采取最优的做法,你每步都选择局部最优解,最终得到的就是全局最优解。 阅读全文

posted @ 2018-08-21 01:01 hematologist 阅读(219) 评论(0) 推荐(0) 编辑

2018年8月15日

8-15、深度优先搜索算法

摘要: 1、加权图:提高或降低某些边的权重。 2、狄克斯特拉算法:找出加权图中前往X的最短路径。 3、狄克斯特拉算法的4步走: (1)、找出最便宜的节点,即可在最短时间内前往的节点。 (2)、对于该节点的邻居,检查是否有前往它们的更短路径,如果有,就更新其开销。 (3)、重复这个过程,直到对图中的每个节点都 阅读全文

posted @ 2018-08-15 23:41 hematologist 阅读(249) 评论(0) 推荐(0) 编辑

2018年8月14日

uvm及sv中的plusargs使用

摘要: 1. uvm cmdline的用法 <1> 使用uvm_cmdline_processor可以从脚本层级,从外部向仿真环境传递参数. 运行:./simv +UVM_TESTNAME=hello_world +UVM_VERBOSITY=UVM_DEBUG +arg_value=100 结果: UVM 阅读全文

posted @ 2018-08-14 23:45 hematologist 阅读(1723) 评论(0) 推荐(0) 编辑

导航