2018年8月14日

uvm及sv中的plusargs使用

摘要: 1. uvm cmdline的用法 <1> 使用uvm_cmdline_processor可以从脚本层级,从外部向仿真环境传递参数. 运行:./simv +UVM_TESTNAME=hello_world +UVM_VERBOSITY=UVM_DEBUG +arg_value=100 结果: UVM 阅读全文

posted @ 2018-08-14 23:45 hematologist 阅读(1723) 评论(0) 推荐(0) 编辑

SV fork-join

摘要: 1.通过for循环和fork ... join_none建立N个独立的线程 其中automatic int k 是关键的地方 2.disable a thread: 3.disable multi thread: 4.disable a labed thread: 5.disable a task: 阅读全文

posted @ 2018-08-14 00:15 hematologist 阅读(739) 评论(0) 推荐(0) 编辑

导航