UVM-1

1、UVM学习心得

花了两天时间看完了<<UVM实战>>第二章到第三章。很有趣,所以很迫不及待的看了90页,很多细节的东西没有在意,但是对UVM验证平台的框架已经有了认识。

还发现一个很好的学习网站:www.testbench.in

  1、UVM验证平台的搭建就是不断的把小的不同功能类放到大的容器类中的过程。

  2、搭建好平台之后,后面要做的事就是写case,写case的过程就是产生新的transaction的过程。

  3、每个case都要有自己的sequence用来产生自己独特的transaction。

2、UVM中各个class的作用和功能

  1、driver类:按照特定的协议和时序给dut的接口信号赋值。driver里面对数据进行串并转换,数据发送用while循环。

  2、transaction类:根据dut的接口控制接口和数据接口定义transaction,这个类中包括dut的控制信号和数据信号。也就是driver中需要发送的信号。

  3、monitor类:monitor和driver代码相似,其一直监控dut的信号,在某些信号成立时,采集数据到队列中,然后将队列中的数据转换到transaction新式。

  4、agent类:monitor和driver一般都会放到agent这个大类里面,因为他们一个驱动接口一个监控监控,很相似。

  5、reference model类:ref model用于模拟dut的行为和数据处理,transaction产生的数据包通过driver驱动dut的同时,ref model也要拿过来进行处理并最终输出结果和dut的结果对比。

  6、scoreboard类:用与将dut的结果和ref的结果对比。

  7、feild_automation:transaction中信息的显示和打印方式,两个包的比较函数compare(),包信息到数组和数组还原为包信息的转换过程,都需要这个注册。

 

posted on 2016-05-05 22:11  hematologist  阅读(377)  评论(0编辑  收藏  举报

导航