uvm_test

1.uvm_test 如何启动的?

1.将testname也就是这里的base_test传入run_test()中

module tb_top;
  // Import required packages 
  import uvm_pkg::*;
 
  // Instantiate DUT and interfaces
  dut   dut0 (...);
  dut_if   _if0 (...);
 
  // Call run_test();
  initial begin
    run_test ("base_test");
  end
endmodule

2.通过argments的方式指定testname

 

run_test() 全局变量指向uvm_root,在tb_top中调用。

uvm_root中有个run_test的函数

 

posted on 2016-04-25 21:10  hematologist  阅读(1073)  评论(0编辑  收藏  举报

导航