modelsim uvm lab

 1.在modelsim的安装目录下的 UVM-1.1d/win64下,就有一个uvm_dpi.dll。

 

 

2.以hello_world.sv这个例子为例,说明验证环境的搭建。在这个文件目录下,有3个文件。

 

      Hello_world.sv: 验证的代码

      Run.bat:  windows运行的脚本

      Sim.do:  modelsim运行的脚本文件

3.对于hello_world.sv,该程序,就是输出一个hello uvm。

 

4. 对于rum.bat,就一句,就是调用modelsim,使用-do选项,当 modelsim启动后,自动执行sim.do脚本。

 

5.对于sim.do文件

 

      设置环境变量UVM_DPI_HOME,指定modelsim下的UVM1.1d的DPI的位置

      建立work library

      编译hello_world.sv代码,通过-L 指定编译需要的几个library

      执行仿真,通过-sv_lib选项,执行UVM1.1d的uvm_dpi.dll,然后针对于哪一个module进行仿真

      运行100ns

6.执行,也很简单了,直接对run.bat双击。

      就会调用modelsim,然后开始执行sim.do脚本。      

      最后,就会显示Hello UVM。

 

 

posted on 2016-04-19 21:32  hematologist  阅读(346)  评论(0编辑  收藏  举报

导航