sv data_type

 

class coverage;
  ...
  covergroup cg_abc (int low, int high);
    coverpoint x {
      bins range = {[low:high]};
    }
  endgroup
 
  function new ();
    cg_abc = new (12, 64);
  endfunction
endclass

 

posted on 2020-01-17 20:33  hematologist  阅读(163)  评论(0编辑  收藏  举报

导航