Error (10028): Can't resolve multiple constant drivers for net "**" at **.v

错误原因:在不同的always逻辑块中,对同一个reg变量进行了赋值,在多个alwasy逻辑块同时并行工作的时候,会出现冲突。


解决办法:对于一个变量,只在一个always块中,进行赋值,内部分别对同一个变量进行的赋值

posted @ 2020-05-27 20:58  Rem~~  阅读(916)  评论(0编辑  收藏  举报