摘要: 在FPGA设计中经常使用到逻辑复制,逻辑复制也用在很多场合。 1. 信号驱动级数非常大,扇出很大,需要增加驱动力   逻辑复制最常使用的场合时调整信号的扇出。如果某个信号需要驱动后级很多单元,此时该信号的扇出非常大,那么为了增加这个信号的驱动能力,一种办法就是插入多级Buffer,但是这样虽然能增加驱动能力,但是也增加了这个信号的路径延时。 阅读全文
posted @ 2012-03-27 20:04 屋檐下的龙卷风 阅读(4931) 评论(2) 推荐(2) 编辑