limanjihe

导航

2018年10月12日 #

对编译特性(* ASYNC_REG = “TRUE” *)的理解

摘要: (*ASYNC_REG = "TRUE"*)命令用于声明寄存器能够接收相对于时钟源的异步数据,或者说寄存器是一个同步链路上正在同步的寄存器。这条命令可以放在任何寄存器上,除了设置它的值为TRUE外还可以设置为FALSE. 例子:(*ASYNC_REG = "TRUE"*) reg [0:0] asy 阅读全文

posted @ 2018-10-12 23:44 limanjihe 阅读(1760) 评论(0) 推荐(0) 编辑

vivado对task和function的可综合支持

摘要: 手册UG901,对vivado可综合的语句支持进行了描述,HDL包括:verilog-2001,system-verilog,VHDL; verilog-2001扩展了对task和function的支持。 ug901手册中,章节7对支持的语法进行详细描述。 1 Filename: functions 阅读全文

posted @ 2018-10-12 21:24 limanjihe 阅读(2411) 评论(0) 推荐(0) 编辑