摘要: 新建工程文件File>New Project Wizard,如图1所示设置工程的文件夹目录及工程名,如图2所示因为还没有创建demo1文件夹,Quartus II弹出一个对话框,询问是否新建所需文件夹,如图3所示。单击Yes,将会出现图4如果有已存在的文件,在此框图中添加,如果没,单击Next,将会出现图5选择器件家族和指定的器件,单击Next,出现图6指定一些第三方EDA工具。持续Simulation选用ModelSim-Altera,格式为Verilog HDL。单击Next,出现图7设置一览如图10所示。单击Finish,返回Quartus II主体窗口。标题栏将显示clk工程,如 阅读全文
posted @ 2013-10-12 19:51 卧室龙头 阅读(4054) 评论(0) 推荐(0) 编辑
摘要: 1.将破解文件夹中的bin64文件夹中的sys_cpt.dll拷贝到.. \Quartus.II.v10.1\quartus\bin64 2.将破击文件夹中的echos-keygenaq10.exe放到.. \Quartus.II.v10.1\quartus\bin64双击生成license.dat 3.如图License Setup中设置 如图导入上述的license.dat文件 阅读全文
posted @ 2013-10-12 19:26 卧室龙头 阅读(866) 评论(0) 推荐(0) 编辑
摘要: 1.概述 CRC即Cyclic Redundancy Check,循环冗余校验,是一种数字通信中的常用信道编码技术。其特征是信息段和校验字段的长度可以任意选定。2.CRC校验的基本原理: CRC码是由两部分组成的,前部分是信息码,就是需要校验的信息,后部分是校验码,如果CRC码长共n bit,信息码长k bit,就称为(n,k)码,剩余的r bit即为校验位。如:(7,3)码:1101001,前三位110为信息码,1001为校验码。3.校验码的生成规则: 1)将原信息码左移r bit,右侧补零,如 110--> 110 0000; 2)用110 0000除以g(x) (注意,使用的是模. 阅读全文
posted @ 2013-10-12 14:49 卧室龙头 阅读(412) 评论(0) 推荐(0) 编辑