摘要: 昨天在用Vivado写Testbench顶层时,为了以后便于数据的存储导出分析,需要用的文件数据记录的功能,于是,下面谈谈$fopen/$display/$fclose这三者的用法。 $fopen——打开文件 用法1:$fopen("<文件名>"); 用法2:<文件句柄> = $fopen("<文件 阅读全文
posted @ 2018-04-26 09:22 江山无限辉 阅读(1577) 评论(0) 推荐(0) 编辑