摘要: 1、好的状态机标准好的状态机的标准很多,最重要的几个方面如下:第一,状态机要安全,是指FSM不会进入死循环,特别是不会进入非预知的状态,而且由于某些扰动进入非设计状态,也能很快的恢复到正常的状态循环中来。这里面有两层含义:其一要求该FSM的综合实现结果无毛刺等异常扰动;其二要求FSM要完备,即使受到... 阅读全文
posted @ 2015-06-17 15:58 苍月代表我 阅读(1979) 评论(0) 推荐(0) 编辑
摘要: 用三段式描述状态机的好处,国内外各位大牛都已经说的很多了,大致可归为以下三点:1.将组合逻辑和时序逻辑分开,利于综合器分析优化和程序维护;2.更符合设计的思维习惯;3.代码少,比一段式状态机更简洁。对于第一点,我非常认可,后两点在Clifford E. Cummings著的(Synthesizabl... 阅读全文
posted @ 2015-06-17 15:57 苍月代表我 阅读(4699) 评论(0) 推荐(1) 编辑
摘要: 时钟是整个电路最重要、最特殊的信号,系统内大部分器件的动作都是在时钟的跳变沿上进行, 这就要求时钟信号时延差要非常小, 否则就可能造成时序逻辑状态出错;因而明确FPGA设计中决定系统时钟的因素,尽量较小时钟的延时对保证设计的稳定性有非常重要的意义。建立时间与保持时间 建立时间(Tsu:set up ... 阅读全文
posted @ 2015-06-17 11:50 苍月代表我 阅读(3660) 评论(0) 推荐(0) 编辑
摘要: 用一段式建模FSM 的寄存器输出的时候,必须要综合考虑现态在何种状态转移条件下会进入哪些次态,然后在每个现态的case 分支下分别描述每个次态的输出,这显然不符合思维习惯;而三段式建模描述FSM 的状态机输出时,只需指定case 敏感表为次态寄存器,然后直接在每个次态的case 分支中描述该状态的输... 阅读全文
posted @ 2015-06-17 11:45 苍月代表我 阅读(318) 评论(0) 推荐(0) 编辑
摘要: 数字系统有两大类有限状态机(Finite State Machine,FSM):Moore状态机和Mealy状态机。Moore状态机 其最大特点是输出只由当前状态确定,与输入无关。Moore状态机的状态图中的每一个状态都包含一个输出信号。这是一个典型的Moore状态机的状态跳转图,x、y、z是输入... 阅读全文
posted @ 2015-06-17 11:41 苍月代表我 阅读(452) 评论(0) 推荐(0) 编辑
摘要: verilog描述组合逻辑一般常用的有两种:assign赋值语句和always@(*)语句。两者之间的差别有: 1. 被assign赋值的信号定义为wire型,被always@(*)结构块下的信号定义为reg型,值得注意的是,这里的reg并不是一个真正的触发器,只有敏感列表为上升沿触发的写法才会综... 阅读全文
posted @ 2015-06-17 11:36 苍月代表我 阅读(1498) 评论(0) 推荐(0) 编辑